ΕΝΟΤΗΤΑ 7Η ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ ΤΗΣ ΤΥΠΙΚΗΣ ΛΟΓΙΚΗΣ

Slides:



Advertisements
Παρόμοιες παρουσιάσεις
Καταχωρητες, Μετρητες, Μνημες (Registers, counters, RAMs)
Advertisements

Ασύγχρονοι Απαριθμητές
Το υλικο του Υπολογιστη
ΤΑΞΗ Γ ΓΥΜΝΑΣΙΟΥ Βασικές Έννοιες Επανάληψη (1).
13.1 Λογικές πύλες AND, OR, NOT, NAND, NOR
Συνδιαστικά Λογικά Κυκλώματα
ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων
Ημιαγωγοί – Τρανζίστορ – Πύλες - Εξαρτήματα
συγχρονων ακολουθιακων κυκλωματων
ΕΝΟΤΗΤΑ 10Η Η ΓΛΩΣΣΑ VHDL: ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ
ΕΣ 08: Επεξεργαστές Ψηφιακών Σημάτων © 2006 Nicolas Tsapatsoulis Η Αρχιτεκτονική των Επεξεργαστών Ψ.Ε.Σ Τμήμα Επιστήμη και Τεχνολογίας Τηλεπικοινωνιών.
ΕΝΟΤΗΤΑ 8Η ΜΝΗΜΕΣ ROM ΚΑΙ RΑΜ
Μνήμη και Προγραμματίσιμη Λογική
Kαταχωρητες και Μετρητες (Registers και Counters)
Εισαγωγή
ΕΝΟΤΗΤΑ 5Η ΣΥΝΔΥΑΣΤΙΚΑ ΚΥΚΛΩΜΑΤΑ ΤΗΣ ΤΥΠΙΚΗΣ ΛΟΓΙΚΗΣ Α΄
ΕΝΟΤΗΤΑ 3Η ΤΕΧΝΟΛΟΓΙΑ CMOS
ΗΥ 120 Αλγοριθμικες μηχανες καταστασεως
ΠΡΟΗΓΜΕΝΑ ΨΗΦΙΑΚΑ ΣΥΣΤΗΜΑΤΑ
Τεχνολογία TTL, Τεχνολογία CMOS Κυκλώματα της τυπικής λογικής Μνήμες
Ακολουθιακά Ψηφιακά Κυκλώματα
ΕΝΟΤΗΤΑ 9η Βασικές τεχνικές εισόδου/εξόδου δεδομένων
Μνημη τυχαιας προσπελασης (Random Access Memory - RAM)
ΕΝΟΤΗΤΑ 6Η ΣΥΝΔΥΑΣΤΙΚΑ ΚΥΚΛΩΜΑΤΑ ΤΗΣ ΤΥΠΙΚΗΣ ΛΟΓΙΚΗΣ Β΄
ΕΝΟΤΗΤΑ 8η Μετατροπείς Αναλογικού Σήματος σε Ψηφιακό (ADC)
6.1 Καταχωρητές Ένας καταχωρητής είναι μια ομάδα από f/f αλλά μπορεί να περιέχει και πύλες. Καταχωρητής των n ψηφίων αποτελείται από n f/f. Καταχωρητής.
ΣΥΣΤΗΜΑΤΑ ΣΥΛΛΟΓΗΣ ΠΛΗΡΟΦΟΡΙΩΝ ΚΑΙ ΜΕΤΡΗΣΕΩΝ
ΕΝΟΤΗΤΑ 11 Η ΠΡΟΓΡΑΜΜΑΤΙΖΟΜΕΝΟΙ ΛΟΓΙΚΟΙ ΠΙΝΑΚΕΣ (PROGRAMMABLE LOGIC ARRAYS)  Οι λογικοί Πίνακες ως γεννήτριες συναρτήσεων  Επίπεδα AND-OR και OR-AND.
Συγχρονα Ακολουθιακα Κυκλωματα Flip-Flops Καταχωρητες
ΕΝΟΤΗΤΑ 12Η ΔΙΑΤΑΞΕΙΣ ΠΡΟΓΡΑΜΜΑΤΙΖΟΜΕΝΗΣ ΛΟΓΙΚΗΣ: CPLDs ΚΑΙ FPGAs
ΕΝΟΤΗΤΑ 7η Μετατροπείς Ψηφιακού Σήματος σε Αναλογικό (DAC)
Συγχρονα Ακολουθιακα Κυκλωματα Flip-Flops Καταχωρητες
Συνδυαστικά Κυκλώματα (Combinational Circuits)
Kαταχωρητές και Μετρητές (Registers και Counters)
ΕΙΣΑΓΩΓΗ ΣΤΗ VHDL ΚΑΙ ΥΛΟΠΟΙΗΣΗ ΕΡΓΑΣΤΗΡΙΑΚΩΝ ΑΣΚΗΣΕΩΝ
ΧΡΟΝΟΙ ΕΓΚΑΘΙΔΡΥΣΗΣ (SETUP) ΚΑΙ ΚΡΑΤΙΣΗΣ (HOLD) Για τη σωστή λειτουργία των flip/flops πρέπει να ικανοποιούνται οι set-up και hold time απαιτήσεις Set-up.
{ Ψηφιακή Σχεδίαση εργαστήριο Γιάννης Νικολουδάκης.
ΨΗΦΙΑΚΑ ΣΥΣΤΗΜΑΤΑ 1 Διάλεξη 12: Διάλεξη 12: Καταχωρητές - Μετρητές Δρ Κώστας Χαϊκάλης.
{ Ψηφιακή Σχεδίαση εργαστήριο Γιάννης Νικολουδάκης.
ΨΗΦΙΑΚΑ ΣΥΣΤΗΜΑΤΑ Διάλεξη 8: Ολοκληρωμένα κυκλώματα – Συνδυαστική λογική – Πολυπλέκτες – Κωδικοποιητές - Αποκωδικοποιητές Δρ Κώστας Χαϊκάλης ΨΗΦΙΑΚΑ ΣΥΣΤΗΜΑΤΑ.
Ψηφιακή Σχεδίαση Τ.Ε.Ι. Κρήτης Σχολή Τεχνολογικών Εφαρμογών Τμ. Μηχανικών Πληροφορικής.
Έβδομο μάθημα Ψηφιακά Ηλεκτρονικά.
Το εσωτερικό ενός υπολογιστή
Ένατο μάθημα Ψηφιακά Ηλεκτρονικά.
Όγδοο μάθημα Ψηφιακά Ηλεκτρονικά.
Σχεδίαση CMOS Ψηφιακών Ολοκληρωμένων Κυκλωμάτων
Συστήματα CAD Πανεπιστήμιο Θεσσαλίας Σχολή Θετικών Επιστημών
αναγκαίο κακό ή δώρο εξ’ ουρανού;
ΑΚΟΛΟΥΘΙΑΚΑ ΣΤΟΙΧΕΙΑ.
Διάλεξη 11: Ανάλυση ακολουθιακών κυκλωμάτων Δρ Κώστας Χαϊκάλης
Επιβλέπων: Ιωάννης Καλόμοιρος, Επίκουρος καθηγητής
Διάλεξη 9: Συνδυαστική λογική - Ασκήσεις Δρ Κώστας Χαϊκάλης
Πτυχιακή εργασία Ονοματεπώνυμο: Στεργίου Παναγιώτα 2297
“Ψηφιακός έλεγχος και μέτρηση της στάθμης υγρού σε δεξαμενή"
SR latch R Q S R Q Q’ Q’ S.
Πίνακες διέγερσης Q(t) Q(t+1) S R X X 0
Χειμερινό εξάμηνο 2017 Πέμπτη διάλεξη
Μηχανοτρονική Μάθημα 9ο “ψηφιακά ηλεκτρονικά”
Ψηφιακή Σχεδίαση εργαστήριο
Χειμερινό εξάμηνο 2017 Έκτη – έβδομη διάλεξη
ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων Χειμερινό Εξάμηνο 2007
Ένα ακολουθιακό κύκλωμα καθορίζεται από τη χρονική ακολουθία των ΕΙΣΟΔΩΝ, των ΕΞΟΔΩΝ και των ΕΣΩΤΕΡΙΚΩΝ ΚΑΤΑΣΤΑΣΕΩΝ ΣΥΓΧΡΟΝΑ: Οι αλλαγές της κατάστασης.
ΗΜΥ-210: Λογικός Σχεδιασμός Εαρινό Εξάμηνο 2005
ΗΜΥ-210: Λογικός Σχεδιασμός Εαρινό Εξάμηνο 2005
Εργασίες 9ου – 10ου Εργαστηρίου
ΗΜΥ-210: Λογικός Σχεδιασμός Εαρινό Εξάμηνο 2005
מכטרוניקה אלקטרוניקה ספרתית סתיו תשס"ה 2004/2005
ΒΟΗΘΗΤΙΚΑ ΣΤΟΙΧΕΙΑ – Λειτουργία του JK Flip-Flop
Ψηφιακή Σχεδίαση Morris Mano &Michael D. Ciletti
Καταχωρητής Ι3 Α3 D Ι2 Α2 D Ι1 Α1 D Ι0 Α0 D CP.
Μεταγράφημα παρουσίασης:

ΕΝΟΤΗΤΑ 7Η ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ ΤΗΣ ΤΥΠΙΚΗΣ ΛΟΓΙΚΗΣ Τμήμα Πληροφορικής και Επικοινωνιών, «Ενίσχυση Σπουδών Πληροφορικής», ΕΠΕΑΕΚ ΙΙ Ιωάννη Καλόμοιρου, Προηγμένα Ψηφιακά Συστήματα ΕΝΟΤΗΤΑ 7Η ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ ΤΗΣ ΤΥΠΙΚΗΣ ΛΟΓΙΚΗΣ Μάνδαλο τύπου D και D-Flip-Flop D-Registers 74LS175, 74LS374, 74LS273 MSI καταχωρητές ολίσθησης Ολοκληρωμένοι Απαριθμητές (74LS193)

ΔΙΣΤΑΘΗ ΚΥΚΛΩΜΑΤΑ Το κύκλωμα του σχήματος έχει δύο σταθερές καταστάσεις, HIGH και LOW. Η έξοδος διατηρείται αμετάβλητη, άπαξ και βρεθεί στη μία ή στην άλλη κατάσταση. Το R-S latch συμπεριφέρεται ως δισταθές στοιχείο όταν R=0, S=0. Δηλαδή, κλειδώνει στην κατάσταση όπου έχει οδηγηθεί προηγουμένως, με τη βοήθεια των εισόδων R και S.

Μανδαλωτές και Flip-flops Κυκλωματικό σύμβολο για R-S latch S-bar R-bar latch με πύλες NAND και πίνακας αληθείας Latch: σαρώνει τις εισόδους και ανανεώνει τις εξόδους διαρκώς. Flip-flop: ανανεώνει την έξοδο σε στιγμές που καθορίζονται από είσοδο ρολογιού.

R-S μάνδαλο με είσοδο enable: Θέτει ή μηδενίζει μια σημαία, ανάλογα με τις συνθήκες.

Μάνδαλο τύπου D: Αποθηκεύει ένα bit πληροφορίας Μάνδαλο τύπου D: (α) κύκλωμα με πύλες NAND (b) Πίνακας αληθείας (c) Λογικό σύμβολο

D flip-flop που σκανδαλίζεται από μέτωπο παλμού Αποτελείται από δύο μάνδαλα D, που το ένα λέγεται Master και το άλλο Slave. Το πρώτο ανοίγει και ακολουθεί την είσοδο όταν Clock LOW. Όταν CLK=1 το Master κλείνει, όμως το Slave ανοίγει και μεταφέρει την έξοδο του πρώτου στην έξοδο Q. Το Slave είναι ανοιχτό όσο CLK=1, όμως αλλάζει κατάσταση μόνον στη αρχή (με το μέτωπο του παλμού CLK), αφού μετά το Master κλειδώνει.

Παράδειγμα εφαρμογής μανδαλωτή σε σύστημα συναγερμού

D-Register 4 bit 74LS175

8-bit Registers 74LS374 και 74LS273

Shift-Registers Καταχωρητές ολίσθησης Καταχωρητές σειριακής εισόδου-σειριακής εξόδου (αριστερά) και σειριακής εισόδου παράλληλης εξόδου (δεξιά).

Καταχωρητές ολίσθησης: Σειριακής εισόδου-παράλληλης εξόδου (SIPO)

Parallel in - Serial Out (PISO) και Parallel In - Parallel Out (PIPO) καταχωρητές

Σειριακή μεταφορά δεδομένων

MSI καταχωρητές Καταχωρητής ολίσθησης 8 bits σειριακής εισόδου-παράλληλης εξόδου Παράλληλης εισόδου-σειριακής εξόδου Γενικός καταχωρητής ολίσθησης

ΠΑΡΑΔΕΙΓΜΑ ΓΕΝΙΚΟΥ ΚΑΤΑΧΩΡΗΤΗ Στο διπλανό σχήμα φαίνεται μια εφαρμογή με τον καταχωρητή ολίσθησης 74LS194. Οι ακροδέκτες 9,10 χρησιμοποιούνται για να ορίζεται ο τρόπος λειτουργίας του κατά-χωρητή (βλέπε πίνακα). Η σειριακή είσοδος γίνεται από τους ακροδέκτες 2 ή 7 ενώ η παράλληλη φόρ-τωση από τους 1-4. οι ακροδέκτες 12-15 χρησι-μεύουν για έξοδο (παράλ-ληλη ή σειριακή).

Απαριθμητές Aσύγχρονοι δυαδικοί απαριθμητές

Το Ο.Κ. 74LS193: Σύγχρονος δυαδικός απαριθμητής