Η παρουσίαση φορτώνεται. Παρακαλείστε να περιμένετε

Η παρουσίαση φορτώνεται. Παρακαλείστε να περιμένετε

Συνδυαστικά Κυκλώματα (Combinational Circuits)

Παρόμοιες παρουσιάσεις


Παρουσίαση με θέμα: "Συνδυαστικά Κυκλώματα (Combinational Circuits)"— Μεταγράφημα παρουσίασης:

1 Συνδυαστικά Κυκλώματα (Combinational Circuits)
Εξοδος οποιαδήποτε στιγμή εξαρτάται μόνο από τις τιμές στην είσοδο την ίδια στιγμή

2 Ακολουθιακά Κυκλώματα (Sequential Circuits)
Aποθηκεύουν κατάσταση (state) σε μορφή bits. Η έξοδος εξαρτάται από την είσοδο στο παρών και την παρούσα κατάσταση. Η επόμενη κατάσταση εξαρτάται από την παρούσα κατάσταση και είσοδο

3 Σύγχρονα και Ασύγχρονα Ακολουθιακά Κυκλώματα
Aσύγχρονα: συμπεριφορά μπορεί να επηρεαστεί ανά πάσα στιγμή όταν αλλάζουν οι τιμές στην είσοδο Σύγχρονα: συμπεριφορά ορίζεται σε διάκριτα χρονικά σημεία συγχρονισμός επιτυγχάνεται με χρηση ρολογιού clock: παράγει μια περιοδική σειρά παλμών ακολουθιακά κυκλώματα με ρολόι σύγχρονα κυκλώματα βασίζονται σε ασύγχρονα και ρολόι

4 Σύγχρονα Ακολουθιακά Κυκλώματα με Ρολόι
Η κατάσταση μπορεί να αλλάξει μόνο όταν υπάρξει παλμός στην είσοδο ρολογιού

5 Βασικά Κυκλώματα για Αποθήκευση
Ασύγχρονα: Mανταλωτές - Latches τυπικά 1 ή 2 εισόδους αποθηκεύουν 1 bit (συνήθως στην κανονική και συμπληρωμένη μορφή του)

6 Βασικά Κυκλώματα για Αποθήκευση
Σύγχρονα: Flip-Flops ή FF latches χρησιμοποιούνται για κτίσιμο flip-flops τυπικά 1 ή 2 εισόδους συνήθως μια επιπλέον είσοδος για ρολόι αποθηκεύουν 1 bit (συνήθως στην κανονική και συμπληρωμένη μορφή του)

7 Ακολουθιακά Κυκλώματα
Mανταλωτές - Latches Flip-Flops Ανάλυση Ακολουθιακών κυκλωμάτων Σχεδιασμός Ακολουθιακών κυκλωμάτων D FF αχρησιμοποίητες καταστάσεις JK FF πίνακες διέγερσης VHDLγια ακολουθιακά κυκλώματα

8 SR Mανταλωτές - SR Latches
Q ???

9 SR Mανταλωτές - SR Latches
Q ???

10 SR Mανταλωτές - SR Latches
Q ???

11 SR Mανταλωτές - SR Latches
Q ???

12 SR Mανταλωτές - SR Latches
Q ???

13 SR Mανταλωτές - SR Latches
Q ???

14 SR Mανταλωτές - SR Latches
Q ???

15 SR Mανταλωτές - SR Latches
Q ???

16 SR Mανταλωτές - SR Latches
Q ???

17 SR Mανταλωτές - SR Latches
Q ???

18 SR Mανταλωτές - SR Latches
Q ???

19 SR Mανταλωτές - SR Latches
Q ???

20 SR Mανταλωτές - SR Latches
Q ???

21 SR Mανταλωτές - SR Latches
Q ???

22 SR Mανταλωτές - SR Latches
Q ???

23 SR Mανταλωτές - SR Latches
S R Q(t+1) 0 0 0 1 1 0 1 1

24 SR Mανταλωτές - SR Latches
MNHMH S R Q(t+1) 0 0 Q(t) 0 1 0 1 0 1 1 1 Aπροσδιόριστη

25 SR Mανταλωτές - SR Latches
Χρειάζεται loop για αποθήκευση 1 bit δυο καταστάσεις: 1 ή 0, Set ή Reset Διατηρεί κατάσταση οταν S=R=0 Απροσδιόριστη οταν S=R=1 και μετά S=R=0 S R Q(t+1) 0 0 Q(t) 0 1 0 1 0 1 1 1 Aπροσδιοριστη

26 S’R’ Mανταλωτές - S’R’ Latches
S R Q(t+1) 1 1 0 1 1 0 0 0

27 S’R’ Mανταλωτές - S’R’ Latches
Απροσδιόριστη συμπεριφορά όταν S=R=0 και μετά S=R=1 S R Q(t+1) 1 1 Q(t) 0 1 1 1 0 0 0 0 Aπροσδιόριστη

28 SR Latch με Είσοδο Ελέγχου
Α Q(t+1) Q(t) 1 Aπροσδ. Β

29 SR Latch με Είσοδο Ελέγχου
Α Q(t+1) Q(t) 1 Aπροσδ. Β Α Β Q(t+1) 1 1 Q(t) 0 1 1 1 0 0 0 0 Aπροσδιόριστη

30 SR Latch με Είσοδο Ελέγχου
C=1 => A=S’, B=R’ (S’R’ latch αλλά με ‘‘αντίθετο πίνακα αλήθειας) Α Q(t+1) Q(t) 1 Aπροσδ. Β

31 D Latch Q(t+1) Q(t) 1

32 D Latch Για αποφυγή απροσδιόριστης συμπεριφοράς δεν επιτρέπει το D latch S’=R’=0 S’ R’ Q(t+1) 1 1 Q(t) 0 1 1 1 0 0 0 0 Aπροσδιόριστη Q(t+1) Q(t) 1

33 D Latch Για αποφυγή απροσδιόριστης συμπεριφοράς δεν επιτρέπει το D latch S’=R’=0 Q(t+1) Q(t) 1

34 Flip-Flops Η έξοδος ενός latch με είσοδο ελέγχου συνδεδεμένη με ρολόι, μπορεί να αλλάζει κατά την διάρκεια που το ρολόι έχει την τιμή 1 πχ για D latch η έξοδος θα είναι ίδια με την είσοδο

35 D Latch C D Q

36 D Latch C D Q

37 D Latch C D Q

38 D Latch C D Q

39 D Latch C D Q

40 Πρόβλημα με Latches Q D-Latch

41 Flip-Flops ΜΕΓΑ ΠΡΟΒΛΗΜΑ: λογω loops στα ακολουθιακά κυκλώματα, μπορεί να προκαλέσει απροσδιόριστη συμπεριφορά (η έξοδος να επηρεάζει την είσοδο ενός latch που με την σειρά του επηρεάζει την έξοδο κοκ) Λύση: ένας latch να μην μπορεί να ‘‘δει’’ την αλλαγή στην έξοδο του κατά την διάρκεια του ίδιου παλμού που προκάλεσε την αλλαγή

42 Μaster-Slave FF FF Αφέντη-Σκλάβου
master slave

43 Μaster-Slave FF FF Αφέντη-Σκλάβου
C=1 ενεργός master, έξοδος slave σταθερή C=0 master σταθερό, slave παίρνει τιμή master Περίπτωση απροσδιόριστης συμπεριφοράς; master slave

44 Προσομοίωση SR Master-Slave FF
C S R Y Q

45 Προσομοίωση SR Master-Slave FF
C S R Y Q

46 Προσομοίωση SR Master-Slave FF
C S R Y Q

47 Προσομοίωση SR Master-Slave FF
C S R Y Q

48 Προσομοίωση SR Master-Slave FF
C S R Y Q

49 Προσομοίωση SR Master-Slave FF
C S R Y Q

50 Προσομοίωση SR Master-Slave FF
C S R Y Q

51 Προσομοίωση SR Master-Slave FF
C S R Y Q

52 Προσομοίωση SR Master-Slave FF
C S R Y Q

53 Προσομοίωση SR Master-Slave FF
C S R Y Q

54 Προσομοίωση SR Master-Slave FF
C S R Y Q

55 Προσομοίωση SR Master-Slave FF
C S R Y Q

56 Προσομοίωση SR Master-Slave FF
C S R Y Q

57 Προσομοίωση SR Master-Slave FF
C S R Y Q

58 Προσομοίωση SR Master-Slave FF
C S R Y Q

59 Προσομοίωση SR Master-Slave FF
C S R Y Q

60 Προσομοίωση SR Master-Slave FF
C S R Y Q Εξοδος ααλλάζει με καθυστέρηση Pulse-triggered Τι γίνεται όταν αλλάζει είσοδος;

61 JK FF (master-slave JK FF)
Συμπεριφορά πάντοτε ορίζεται

62 Edge Triggered FF (Ακμοπυροδότηση)
Αλλαγές στο FF γινόνται μόνο στις ακμές (transitions) του ρολογιού 0 σε 1 possitive-triggered ή 1 σε 0 negative triggered

63 D possitive-edge-triggered FF
Τιμη του master: τιμή εισόδου την στιγμή του 0-1 transition Τιμή του slave: τιμή από τον master την στιγμή του 0-1 transition

64 Προσομοίωση D +ve edge FF
C C’ C’’ D S R Q

65 Eπανάληψη Latches (Μανταλωτές) Latches με είσοδο ελέγχου
Asynchronous Latches με είσοδο ελέγχου Level (+ve ή -ve) Master-Slave F/F Synchronous, Level (+ve ή -ve) 2 latches με είσοδο ελέγχου (μονή άρνηση) Εdge-Trigerred F/F Synchronous, Edge (+ve ή -ve) 2 latches με είσοδο ελέγχου (διπλή άρνηση)

66 SR latch(W), SR με είσοδο ελέγχου (X), SR MS FF(Y), SR FF (Z)

67 SR latch(W), SR με είσοδο ελέγχου (X), SR MS FF(Y), SR FF (Z)

68 Xρονικοί Παράμετροι Setup Time (ts χρονος προετοιμασίας): χρόνος πρίν το clock transition που πρέπει η τιμή εισόδου να είναι σταθερή Hold Time (th tχρόνος κρατήματος): χρόνος μετά το transition που πρέπει η τιμή εισόδου να είναι σταθερή Propagation Delay Time (tpd Xρόνος Αναμετάδοσης): χρόνος από το clock transition και σταθεροποίηση τιμής εξόδου tpd ? th

69 JK possitive-edge-triggered FF

70 Σύμβολα Latches ΜS FF FF

71 Στυλ Σχεδιασμού/ΕΠΛ121 Συνήθως συστήματα αποτελούνται από κοιλώματα μνήμης ίδιου τύπου Θα υποθέσουμε possitive edge triggered D FF Όταν αναφερόμαστε σε FF θα εννοούμε το πιο πάνω

72 Χαρακτηριστικοί Πίνακες FF
Υπόθεση: παλμός μεταξύ t και t+1

73 Χαρακτηριστικοί Πίνακες FF
Υπόθεση: παλμός μεταξύ t και t+1

74 Χαρακτηριστικές Εξισώσεις
Για D FF, Q(t+1) = D(t) Για JΚ FF, Q(t+1)=

75 Χαρακτηριστικές Εξισώσεις
Για D FF, Q(t+1) = D(t) Για JΚ FF, Q(t+1)= Q(t) J K Q(t+1) 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1

76 Χαρακτηριστικές Εξισώσεις
Για D FF, Q(t+1) = D(t) Για JΚ FF, Q(t+1)= Q(t) J K Q(t+1)

77 Χαρακτηριστικές Εξισώσεις
Για D FF, Q(t+1) = D(t) Για JΚ FF, Q(t+1)= JQ’ + K’Q

78 Διατήρηση Κατάστασης με D FF
NCH’/CH Q(t+1) 0 Q(t) 1 D 2-1 mux D CLK Q Q’ 1 no change/change

79 Διατήρηση Κατάστασης με D FF
NCH’/CH X 1 X Q D CLK no change/change

80 Διατήρηση Κατάστασης με D FF
2-1 mux 1 D no change/change

81 Άμεσες Είσοδοι Ειδικές εισόδοι για SETTING (θέση) ή RESETTING (μηδένιση) εξόδων FF

82 Aνάλυσης Ακολουθιακών Κυκλωμάτων
Δεδομένου ενός ακολουθιακού κυκλώματος (περιέχει FF, ρολόι), καθορισμός: Αλγεβρική περιγραφή ακολουθιακών κυκλωμάτων Πίνακας Καταστάσεων Διάγραμμα Καταστάσεων

83 Eξισώσεις Εισόδου FF (Ιnput Equations)
DA=AX+BX, DB=A’X, Y=(A+B)X’

84 Πίνακας Καταστάσεων (State table)

85 Πίνακας Καταστάσεων (State table)

86 Eπόμενη Κατάσταση FF Απο τιμή εισόδου FF, παρούσα κατάσταση και χαρακτηριστικό πίνακα Για D FF, Q(t+1) = D(t)

87 Πίνακας Καταστάσεων (State table)
DA=AX+BX, DB=A’X, Y=(A+B)X’

88 Πίνακας Καταστάσεων (State table)

89 Πίνακας Καταστάσεων (ΙΙ)

90 Διάγραμμα Καταστάσεων

91 Διάγραμμα Καταστάσεων
Τι κάνει αυτό το κύκλωμα;

92 Γενικά... Ένα ακολουθιακό κύκλωμα με n FF και m εισόδους
χρειάζεται ένα πίνακα καταστάσεων με 2n+mσειρές η επόμενη κατάσταση θα πρέπει να περιέχει n στήλες (μια για κάθε FF) στήλη για κάθε έξοδο

93 Μοντέλα Mealy και Moore
Τι είναι το παράδειγμα;

94 Παράδειγμα Eξίσωση εισόδου FF DA= AXY, και εξίσωση εξόδου Ζ = Α
Μealy ή Μoore;

95 Τι κάνει αυτό το κύκλωμα;
Τι κάνει αυτό το κύκλωμα;

96 Τι κάνει αυτό το κύκλωμα;
Τι κάνει αυτό το κύκλωμα;

97 Τι κάνει αυτό το κύκλωμα;

98 Ανάλυση με JK FF JA= B, KA=BX’. JB=X’, KB=AX’+A’X 2 FF, 1 σήμα εισόδου

99 JA= B, KA=BX’. JB=X’, KB=AX’+A’X Πίνακας Καταστάσεων

100 Πίνακας Καταστάσεων JA= B, KA=BX’. JB=X’, KB=AX’+A’X 0 0 1 0 0 0 0 1

101 Πίνακας Καταστάσεων JA= B, KA=BX’. JB=X’, KB=AX’+A’X 0 1 1 0 1 1

102 Διάγραμμα Καταστάσεων

103 Σχεδιασμός Ακολουθιακών Κυκλωμάτων
Διάγραμμα Καταστάσεων Πίνακας Καταστάσεων Ανάθεση κωδικών στις καταστάσεις Καθορισμός εξισώσεων εισόδου FFs (τύπος;) Kαθορισμός εξισώσεων εξόδου Απλοποίηση εξισώσεων Σχεδιασμός κυκλώματος

104 Παράδειγμα Σχεδιασμού
Σχεδιάστε ακολουθιακό κύκλωμα που αναγνωρίζει σε μια σειρά bits στην είσοδο Χ του κυκλώματος την σειρά Όταν αναγνωρίζεται τέτοια είσοδος η έξοδος του κυκλώματος Ζ παίρνει την τιμή 1 Πχ Χ Υ

105 Διάγραμμα Καταστάσεων

106 Πίνακας Καταστάσεων

107 Πίνακας Καταστάσεων

108 Ανάθεση Κωδικών σε Καταστάσεις
Α:00, Β:01, C:11, D:10

109 Πίνακας Καταστάσεων Παρούσα Κατάσταση Είσοδος Επόμενη Κατάσταση Έξοδος Είσοδος Α Β Χ Α Β Ζ

110 Επιλογή FFs D type Παρούσα Κατάσταση Είσοδος Επόμενη Κατάσταση Έξοδος Είσοδος Α Β Χ Α Β Ζ DΑ DΒ

111 Εξισώσεις Εισόδου, Eξόδου
DA=Σm(3,6,7), DB=Σm(1,3,5,7) Mε Κ-ΜΑPs DA= AB+BX DB= X Z = Σm(5) = ΑΒ’X

112 Πίνακες Διέγερσης (Excitation Tables)

113 Πίνακες Διέγερσης (Excitation Tables)

114 Σχεδιασμός με JKFF Παρούσα Κατάσταση Είσοδος Επόμενη Κατάσταση Έξοδος Είσοδος Α Β Χ Α Β Ζ JΑ KA JB KB X X X X X X 1 X X 0 X X X X X X 1 X X 0

115 Σχεδιασμός με JKFF Παρούσα Κατάσταση Είσοδος Επόμενη Κατάσταση Έξοδος Είσοδος Α Β Χ Α Β Ζ JΑ KA JB KB X X X X X X 1 X X 0 X X X X X X 1 X X 0

116 Εξισώσεις Εισόδου, Eξόδου
Μετά από απλοποίηση JA=BX, KA= B’, JB=X, KB=X’ Z=AB’X

117 Aχρησιμοποίητες Καταστάσεις
Eαν έχουμε 3 F/F αλλά χρησιμοποιούμε 5 καταστάσεις, πόσες καταστάσεις μένουν αχρησιμοποίητες; Κατα την διάρκεια απλοποίησης σαν don’t-care


Κατέβασμα ppt "Συνδυαστικά Κυκλώματα (Combinational Circuits)"

Παρόμοιες παρουσιάσεις


Διαφημίσεις Google