Η παρουσίαση φορτώνεται. Παρακαλείστε να περιμένετε

Η παρουσίαση φορτώνεται. Παρακαλείστε να περιμένετε

ΕΝΟΤΗΤΑ 10Η Η ΓΛΩΣΣΑ VHDL: ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ

Παρόμοιες παρουσιάσεις


Παρουσίαση με θέμα: "ΕΝΟΤΗΤΑ 10Η Η ΓΛΩΣΣΑ VHDL: ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ"— Μεταγράφημα παρουσίασης:

1 ΕΝΟΤΗΤΑ 10Η Η ΓΛΩΣΣΑ VHDL: ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ
Τμήμα Πληροφορικής και Επικοινωνιών, «Ενίσχυση Σπουδών Πληροφορικής», ΕΠΕΑΕΚ ΙΙ Ιωάννη Καλόμοιρου, Προηγμένα Ψηφιακά Συστήματα ΕΝΟΤΗΤΑ 10Η Η ΓΛΩΣΣΑ VHDL: ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ Εντολές Διαδικασίας (Processes) Μανδαλωτές και Flip-Flop σε VHDL Καταχωρητές, απαριθμητές και μνήμες σε VHDL Παράδειγμα συστήματος: Απλός Επεξεργαστής

2 Εντολές διαδικασίας (PROCESS) Εντολές IF-THEN-ELSE

3 Μανδαλωτής (D latch)

4 Ιδιότητες σημάτων (attributes) - EVENT

5 Καταχωρητής 8-bits

6 Απαριθμητής 4-bits

7 Προσομοίωση του απαριθμητή 4-bits

8 Παράδειγμα συστήματος: Απλός Επεξεργαστής

9 Πράξεις Επεξεργαστή

10 Κύκλωμα Ελέγχου Το κύκλωμα ελέγχου του επεξερ-γαστή αποτελείται από έναν καταχωρητή εντολών, δύο αποκωδι-κοποιητές, που ενεργοποιούν τους κατάλληλους καταχωρητές, και έναν απαριθμητή, που ορίζει τα βήματα εκτέλεσης της κάθε εντολής.

11 Υποκυκλώματα του Επεξεργαστή: Αποκωδικοποιητής - Απαριθμητής
Υποκυκλώματα του Επεξεργαστή: Αποκωδικοποιητής - Απαριθμητής LIBRARY ieee ; USE ieee.std_logic_1164.all ; ENTITY dec2to4 IS PORT (X : IN STD_LOGIC_VECTOR(1 DOWNTO 0) ; En : IN STD_LOGIC ; y : OUT STD_LOGIC_VECTOR(0 TO 3) ) ; END dec2to4 ; ARCHITECTURE Behavior OF dec2to4 IS SIGNAL m : STD_LOGIC_VECTOR(2 DOWNTO 0) ; BEGIN m <= En & X ; WITH m SELECT y <= "1000" WHEN "100", "0100" WHEN "101", "0010" WHEN "110", "0001" WHEN "111", "0000" WHEN OTHERS ; END Behavior ; USE ieee.std_logic_unsigned.all ; ENTITY upcount IS PORT (Clear, Clock : IN STD_LOGIC ; Q: BUFFER STD_LOGIC_VECTOR(1DOWNTO 0) ) ; END upcount ; ARCHITECTURE Behavior OF upcount IS upcount: PROCESS ( Clock ) IF (Clock'EVENT AND Clock = '1') THEN IF Clear = '1' THEN Q <= "00" ; ELSE Q <= Q + '1' ; END IF ; END IF; END PROCESS;

12 Υποκυκλώματα: Καταχωρητές
LIBRARY ieee ; USE ieee.std_logic_1164.all ; ENTITY regn IS GENERIC ( N : INTEGER := 8 ) ; PORT (R : IN STD_LOGIC_VECTOR(N-1 DOWNTO 0) ; Rin, Clock : IN STD_LOGIC ; Q : OUT STD_LOGIC_VECTOR(N-1 DOWNTO 0) ) ; END regn ; ARCHITECTURE Behavior OF regn IS BEGIN PROCESS WAIT UNTIL Clock'EVENT AND Clock = '1' ; IF Rin = '1' THEN Q <= R ; END IF ; END PROCESS ; END Behavior ;

13 Τμήμα Οντότητας του Επεξεργαστή
LIBRARY ieee ; USE ieee.std_logic_1164.all ; USE ieee.std_logic_signed.all ; USE work.comp.all ; ENTITY proc IS PORT (Data : IN STD_LOGIC_VECTOR(7 DOWNTO 0) ; Reset, w : IN STD_LOGIC ; Clock : IN STD_LOGIC ; F, Rx, Ry : IN STD_LOGIC_VECTOR(1 DOWNTO 0) ; Done : BUFFER STD_LOGIC ; B_Wires: INOUT STD_LOGIC_VECTOR(7 DOWNTO 0) ) ; END proc ;

14 Μέρος της Αρχιτεκτονικής
STAGE4: PROCESS ( T, I, X, Y ) BEGIN Extern <= '0' ; Done <= '0' ; Ain <= '0' ; Gin <= '0' ; Gout <= '0' ; AddSub <= '0' ; Rin <= "0000" ; Rout <= "0000" ; CASE T IS WHEN "00" => WHEN "01" => CASE I IS Extern <= '1' ; Rin <= X ; Done <= '1' ; Rout <= Y ; Rin <= X ; Done <= '1' ; WHEN OTHERS => Rout <= X ; Ain <= '1' ; END CASE ; WHEN "10" => Rout <= Y ; Gin <= '1' ; WHEN "11" => Rout <= Y ; AddSub <= '1' ; Gin <= '1' ; Gout <= '1' ; Rin <= X ; Done <= '1' ; END PROCESS ;

15 Προσομοίωση Επεξεργαστή


Κατέβασμα ppt "ΕΝΟΤΗΤΑ 10Η Η ΓΛΩΣΣΑ VHDL: ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ"

Παρόμοιες παρουσιάσεις


Διαφημίσεις Google