11/14/2016Structural VHDL148 Structural VHDL Παράδειγμα Multiplexer Component Component specification Port map command Unconnected outputs Unconnected.

Slides:



Advertisements
Παρόμοιες παρουσιάσεις
Βασικές έννοιες αλγορίθμων
Advertisements

Συνδυαστικά Κυκλώματα
7.3.8 Μεταφραστές Ελληνογαλλική Σχολή Καλαμαρί - Τίκβα Χριστίνα.
Διαδικασία ανάπτυξης Προσδιορισμός απαιτήσεων Αρχιτεκτονικός Σχεδιασμός Λεπτομερής Σχεδιασμός Κωδικοποίηση Έλεγχος Παράδοση Συστήματος Λειτουργία - Συντήρηση.
Ημιαγωγοί – Τρανζίστορ – Πύλες - Εξαρτήματα
ΗΜΥ 100 Εισαγωγή στην Τεχνολογία
ΕΝΟΤΗΤΑ 10Η Η ΓΛΩΣΣΑ VHDL: ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ
HY100 : ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΕΠΙΣΤΗΜΗ ΥΠΟΛΟΓΙΣΤΩΝ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΡΗΤΗΣ, ΣΧΟΛΗ ΘΕΤΙΚΩΝ ΕΠΙΣΤΗΜΩΝ, ΤΜΗΜΑ ΕΠΙΣΤΗΜΗΣ ΥΠΟΛΟΓΙΣΤΩΝ ΔΙΔΑΣΚΟΝΤΕΣ Αντώνιος Σαββίδης, Χρήστος.
Προγραμματισμός PASCAL Πληροφορική Γ' Λυκείου μέρος γ
ΕΙΣΑΓΩΓΗ ΣΤΗΝ ΕΠΙΣΤΗΜΗ ΤΗΣ ΠΛΗΡΟΦΟΡΙΚΗΣ
ΕΝΟΤΗΤΑ 5Η ΣΥΝΔΥΑΣΤΙΚΑ ΚΥΚΛΩΜΑΤΑ ΤΗΣ ΤΥΠΙΚΗΣ ΛΟΓΙΚΗΣ Α΄
ORACLE PL/SQL Cursors Μέρος 1.
ΠΡΟΗΓΜΕΝΑ ΨΗΦΙΑΚΑ ΣΥΣΤΗΜΑΤΑ
Τεχνολογία TTL, Τεχνολογία CMOS Κυκλώματα της τυπικής λογικής Μνήμες
Η ΓΛΩΣΣΑ C ΜΑΘΗΜΑ 2.
ΑΡΧΙΤΕΚΤΟΝΙΚΗ ΜΙΚΡΟΫΠΟΛΟΓΙΣΤΩΝ & ΜΙΚΡΟΕΠΕΞΕΡΓΑΣΤΩΝ
ΕΝΟΤΗΤΑ 11 Η ΠΡΟΓΡΑΜΜΑΤΙΖΟΜΕΝΟΙ ΛΟΓΙΚΟΙ ΠΙΝΑΚΕΣ (PROGRAMMABLE LOGIC ARRAYS)  Οι λογικοί Πίνακες ως γεννήτριες συναρτήσεων  Επίπεδα AND-OR και OR-AND.
Γλώσσες Περιγραφής Υλικού. Οι γλώσσες περιγραφής υλικού είναι προσανατολισμένες στην περιγραφή της δομής του hardware και/ή της συμπεριφοράς του Χρησιμοποιούνται.
ΑΡΧΙΤΕΚΤΟΝΙΚΗ & ΟΡΓΑΝΩΣΗ ΥΠΟΛΟΓΙΣΤΩΝ Κεφάλαιο 1 Εισαγωγή
Γλώσσα περιγραφής υλικού VHDL. Βασική δομή VHDL κώδικα Entity Entity (Δήλωση εισόδων – εξόδων του συστήματος) Architecture Architecture structural (περιγραφή.
Συνδυαστικά Κυκλώματα
9o εξάμηνο Ηλεκτρονικός Κύκλος Εργαστήριο στα FPGA
ΗΥ302 Διδακτική της Πληροφορικής Η γλώσσα προγραμματισμού LOGO Writer Ομάδα Εργασία: Αλεβίζου Βασιλική (Α.Μ.:1029) Κοφφινά Ιωάννα (Α.Μ.:1035) Τριανταφυλλίδου.
Μοντέλα Συστημάτων Παρουσιάσεις των συστημάτων των οποίων οι απαιτήσεις αναλύονται.
ΗΜΥ 100: Εισαγωγή στην Τεχνολογία Διάλεξη 17 Εισαγωγή στα Ψηφιακά Συστήματα: Μέρος Γ TΜΗΜΑ ΗΛΕΚΤΡΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΚΑΙ ΜΗΧΑΝΙΚΩΝ ΥΠΟΛΟΓΙΣΤΩΝ ΠΟΛΥΤΕΧΝΙΚΗ.
Οι λογικές πράξεις και οι λογικές πύλες
Λογικές πύλες Λογικές συναρτήσεις
ΗΥ-220 Verilog HDL. Τα βασικά.... ΗΥ-220 – Ιάκωβος Μαυροειδής2 Βασική Ροή Σχεδίασης Requirements SimulateRTL Model Gate-level Model Synthesize SimulateTest.
Η δημοφιλέστερη γλώσσα Hardware
ΗΥ220 - Βασίλης Παπαευσταθίου1 ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων Χειμερινό Εξάμηνο Verilog: Τα βασικά.
Τεχνολογία ΛογισμικούSlide 1 Εξειδίκευση Βασισμένη σε Μοντέλο u Τυπική εξειδίκευση λογισμικού με ανάπτυξη μαθηματικού μοντέλου για το σύστημα.
Εξομοιωτής Ψηφιακών Κυκλωμάτων
Βασικά στοιχεία της Java
ΕΙΣΑΓΩΓΗ ΣΤΗ VHDL ΚΑΙ ΥΛΟΠΟΙΗΣΗ ΕΡΓΑΣΤΗΡΙΑΚΩΝ ΑΣΚΗΣΕΩΝ
ΚΕΦΑΛΑΙΟ Το αλφάβητο της ΓΛΩΣΣΑΣ
ΔΙΑΣΥΝΔΕΣΗ ΦΩΤΟΔΙΟΔΩΝ ΑΣΚΗΣΗ 2 ΜΙΚΡΟΕΛΕΓΚΤΕΣ - ΜΙΚΡΟΕΠΕΞΕΡΓΑΣΤΕΣ Β. ΧΡΙΣΤΟΦΙΛΑΚΗΣ ΤΟΜΕΑΣ ΙV ΤΜΗΜΑ ΦΥΣΙΚΗΣ ΠΑΝΕΠΙΣΤΗΜΙΟ ΙΩΑΝΝΙΝΩΝ.
Σχεδίαση ψηφιακών συστημάτων Ενότητα 4: Finite State Machines Algorithmic State Machine (ASM) Charts, and VHDL code Ιωάννης Βογιατζής Τμήμα Μηχανικών Πληροφορικής.
{ Ψηφιακή Σχεδίαση εργαστήριο Γιάννης Νικολουδάκης.
Σχεδίαση ψηφιακών συστημάτων Ενότητα 1: Εισαγωγή Ιωάννης Βογιατζής Τμήμα Μηχανικών Πληροφορικής ΤΕ Ανοικτά Ακαδημαϊκά Μαθήματα στο ΤΕΙ Αθήνας Το περιεχόμενο.
ΠΑΡΑΔΕΙΓΜΑ: ΤΑ ΕΠΙΠΕΔΑ ΥΛΙΚΟΥ – ΛΟΓΙΣΜΙΚΟΥ ΣΕ ΕΝΑΝ ΥΠΟΛΟΓΙΣΤΗ.
{ Ψηφιακή Σχεδίαση εργαστήριο Γιάννης Νικολουδάκης.
ΨΗΦΙΑΚΑ ΣΥΣΤΗΜΑΤΑ Διάλεξη 8: Ολοκληρωμένα κυκλώματα – Συνδυαστική λογική – Πολυπλέκτες – Κωδικοποιητές - Αποκωδικοποιητές Δρ Κώστας Χαϊκάλης ΨΗΦΙΑΚΑ ΣΥΣΤΗΜΑΤΑ.
Ψηφιακή Σχεδίαση Τ.Ε.Ι. Κρήτης Σχολή Τεχνολογικών Εφαρμογών Τμ. Μηχανικών Πληροφορικής.
Έβδομο μάθημα Ψηφιακά Ηλεκτρονικά.
Πανεπιστήμιο Θεσσαλίας Παιδαγωγικό Τμήμα Ειδικής Αγωγής
Όγδοο μάθημα Ψηφιακά Ηλεκτρονικά.
ΜΙΚΡΟΕΛΕΓΚΤΕΣ-ΜΙΚΡΟΕΠΕΞΕΡΓΑΣΤΕΣ
Ενισχυτική διδασκαλία
Συστήματα CAD Πανεπιστήμιο Θεσσαλίας Σχολή Θετικών Επιστημών

Σχεδίαση συνδυαστικών κυκλωµάτων
Διάλεξη 9: Συνδυαστική λογική - Ασκήσεις Δρ Κώστας Χαϊκάλης

Programmable Logic Technologies
Εισαγωγή στη VHDL 5/8/2018 Εισαγωγή στη VHDL.
Ψηφιακή Σχεδίαση εργαστήριο
“Ψηφιακός έλεγχος και μέτρηση της στάθμης υγρού σε δεξαμενή"
Εφευρέσεις που θα κάνουν την ζωή μας πιο όμορφη…
Χειμερινό εξάμηνο 2017 Πέμπτη διάλεξη
Χειμερινό εξάμηνο 2017 Τέταρτη διάλεξη
Λογικές πύλες και υλοποίηση άλγεβρας Boole ΑΡΒΑΝΙΤΗΣ ΚΩΝΣΤΑΝΤΙΝΟΣ(ΣΥΝΕΡΓΑΤΕΣ):ΔΗΜΗΤΡΙΟΣ ΔΑΒΟΣ- ΜΑΡΙΑ ΕΙΡΗΝΗ KAΛΙΑΤΣΗ-ΦΡΑΤΖΕΣΚΟΣ ΒΟΛΤΕΡΙΝΟΣ… ΕΠΠΑΙΚ ΑΡΓΟΥΣ.
Τ.Ε.Ι. Κρήτης Σχολή Τεχνολογικών Εφαρμογών Τμ. Μηχανικών Πληροφορικής
ΕΙΣΑΓΩΓΗ ΣΤΗ UML ΕΙΣΑΓΩΓΗ ΣΤΗ UML.
Εργασίες 9ου – 10ου Εργαστηρίου
ΗΜΥ-210: Λογικός Σχεδιασμός Εαρινό Εξάμηνο 2005
ΗΜΥ-210: Λογικός Σχεδιασμός Εαρινό Εξάμηνο 2005
מכטרוניקה אלקטרוניקה ספרתית סתיו תשס"ה 2004/2005
ΗΜΥ-210: Λογικός Σχεδιασμός Εαρινό Εξάμηνο 2005
ΗΜΥ-210: Λογικός Σχεδιασμός Εαρινό Εξάμηνο 2005
Μέρος Β – Μονάδες Επεξεργαστή
Μεταγράφημα παρουσίασης:

11/14/2016Structural VHDL148 Structural VHDL Παράδειγμα Multiplexer Component Component specification Port map command Unconnected outputs Unconnected inputs Generic map command Generate command Configuration Παράδειγμα 4-bit Adder Ασκήσεις - Προβλήματα

11/14/2016Structural VHDL149 Structural VHDL Η Structural VHDL μπορεί να χρησιμοποιηθεί για ιεραρχικούς σχεδιασμούς (hierarchical design). Βάσει μίας entity (class) μπορούν να υλοποιηθούν πολλά components (class instances – objects). Η διασύνδεση των components περιγράφεται με την Structural VHDL. Για να περιγράψουμε ένα κύκλωμα σε Structural VHDL ξεκινάμε από το λογικό διάγραμμά του. –περιέχει τις αρχές του κυκλώματος –δεν είναι απαραίτητο να περιέχει όλες τις λεπτομέρειες. Η Structural VHDL μπορεί κατ’ αυτό τον τρόπο να αντικαταστήσει ένα σχηματικό και είναι ανεξάρτητη από το περιβάλλον σχεδιασμού, αφού η περιγραφή γίνεται σε VHDL. Αυτός ο τρόπος προγραμματισμού είναι πολύ χρήσιμος παρότι παρουσιάζει κάποια δυσκολία στην ανάγνωσή του.

11/14/2016Structural VHDL150 Παράδειγμα Multiplexer

11/14/2016Structural VHDL151 Παράδειγμα Multiplexer File: c:\my designs\struct_mux\SRC\mux.VHD -- Mux Structural. Created by Design Wizard: 02/05/01 13:16:58 -- library IEEE; use IEEE.std_logic_1164.all; entity and_comp is port (a, b: in STD_LOGIC; c: out STD_LOGIC); end and_comp; architecture rtl of and_comp is begin c <= a and b; end rtl;

11/14/2016Structural VHDL152 Παράδειγμα Multiplexer library IEEE; use IEEE.std_logic_1164.all; entity or_comp is port (a, b: in STD_LOGIC; c: out STD_LOGIC); end or_comp; architecture rtl of or_comp is begin c <= a or b; end rtl; library IEEE; use IEEE.std_logic_1164.all; entity inv_comp is port (a : in STD_LOGIC; b : out STD_LOGIC); end inv_comp; architecture rtl of inv_comp is begin b <= not a; end rtl;

11/14/2016Structural VHDL153 Παράδειγμα Multiplexer library IEEE; use IEEE.std_logic_1164.all; entity mux is port (d0, d1, sel: in STD_LOGIC; q: out STD_LOGIC); end mux; architecture mux_struct of mux is -- Component declaration component and_comp port (a, b: in STD_LOGIC; c: out STD_LOGIC); end component; component or_comp port (a, b: in STD_LOGIC; c: out STD_LOGIC); end component; component inv_comp port (a : in STD_LOGIC; b : out STD_LOGIC); end component; signal i1, i2, sel_n : STD_LOGIC;

11/14/2016Structural VHDL154 Παράδειγμα Multiplexer -- Component Specification for U1 : inv_comp Use Entity work.inv_comp(rtl); for U2, U3 : and_comp Use Entity work.and_comp(rtl); for U4 : or_comp Use Entity work.or_comp(rtl); begin -- Component instantiation U1 : inv_comp port map(sel,sel_n); U2 : and_comp port map(d0,sel,i1); U3 : and_comp port map(sel_n,d1,i2); U4 : or_comp port map(i1,i2,q); end mux_struct;

11/14/2016Structural VHDL155 Παράδειγμα Multiplexer

11/14/2016Structural VHDL156 Component Ένα component δηλώνεται μεταξύ του architecture και του begin, στο συντρέχον (concurrent) μέρος του κώδικα. Η σειρά με την οποία δηλώνουμε τα signals στην εντολή port πρέπει να είναι ακριβώς η ίδια όπως στην entity του component, διαφορετικά θα παρουσιαστεί λάθος στο compilation.

11/14/2016Structural VHDL157 Component

11/14/2016Structural VHDL158 Component specification Ο ορισμός (specification) ενός component γίνεται με την εντολή Use και χρησιμοποιείται κυρίως για την επιλογή της αρχιτεκτονικής κατά την προσομοίωση. Τα εργαλεία της σύνθεσης επιτρέπουν την ύπαρξη των ορισμών μέσα στον κώδικα αλλά δεν τις λαμβάνουν υπ’ όψη.

11/14/2016Structural VHDL159 Component specification Ένα component στην VHDL μπορεί να περιγράφεται σε διάφορες αρχιτεκτονικές.

11/14/2016Structural VHDL160 Port map command Η σύνδεση μεταξύ των components γίνεται με την εντολή port map. Πρέπει να δίνεται προσοχή στη σειρά των σημάτων Παραδείγματα U2 : and_comp port map(d0, sel, i1); U2 : and_comp port map( a => d0, b = >sel, c => i1 ); Ως label μπορεί να χρησιμοποιηθεί οποιοδήποτε όνομα.

11/14/2016Structural VHDL161 Unconnected outputs Όταν σε ένα component ένα από τα σήματα εξόδου πρέπει να μείνει ασύνδετο τότε χρησιμοποιούμε την λέξη open. Παράδειγμα

11/14/2016Structural VHDL162 Unconnected inputs Κανένα από τα σήματα εισόδου δεν επιτρέπεται να είναι ασύνδετο (floating). Εάν ένα σήμα εισόδου δεν χρησιμοποιείται, πρέπει να συνδεθεί στο VCC ή στο GND. Παράδειγμα

11/14/2016Structural VHDL163 Unconnected inputs Στη VHDL-93 μπορούμε να κάνουμε mapping στο ‘0’ ή στο ‘1’ Παράδειγμα

11/14/2016Structural VHDL164 Generics - Generic map command Τα generics χρησιμοποιούνται συνήθως για να εισάγουν πληροφορία σε ένα μοντέλο. Με την εντολή generic map μπορούμε να αλλάξουμε τις τιμές των generics.

11/14/2016Structural VHDL165 Generics - Generic map command

11/14/2016Structural VHDL166 Generate command Εάν το ίδιο component πρέπει να υποδεικνύεται πολλές φορές τότε μπορούμε να χρησιμοποιήσουμε την εντολή port map σε ένα loop.

11/14/2016Structural VHDL167 Configuration Η configuration συνδέει μία architecture με μία entity. Παράδειγμα

11/14/2016Structural VHDL168 Configuration Τα εργαλεία της σύνθεσης αγνοούν όλες τις configurations και απλά συνθέτουν την αρχιτεκτονική η οποία παρουσιάζεται τελευταία. Η configuration χρησιμοποιείται σε προσομοιώσεις.

11/14/2016Structural VHDL169 Direct instantiation (VHDL-93) Στη VHDL-93 δεν είναι απαραίτητο να υποδεικνύουμε τα components μέσα στην architecture.

11/14/2016Structural VHDL170 Components in package Μπορούμε να ορίσουμε components σε ένα package. Με αυτόν τον τρόπο δεν είναι απαραίτητο να δηλώνουμε τα components στο architecture.

11/14/2016Structural VHDL171 Components in package

11/14/2016Structural VHDL172 Παράδειγμα 4-bit Adder Full adder 4-bit adder

11/14/2016Structural VHDL173 Παράδειγμα 4-bit Adder File: c:\my designs\str_adder4\SRC\adder_4.VHD -- 4-bit adder Hierarchical Created by Design Wizard: 02/05/01 20:25: Half Adder library IEEE; use IEEE.std_logic_1164.all; entity half_adder is port ( x, y : in STD_LOGIC; s, c : out STD_LOGIC); end half_adder; architecture dataflow_3 of half_adder is begin s <= x xor y; c <= x and y; end dataflow_3;

11/14/2016Structural VHDL174 Παράδειγμα 4-bit Adder -- Full Adder library IEEE; use IEEE.std_logic_1164.all; entity full_adder is port ( x, y, z : in STD_LOGIC; s, c : out STD_LOGIC); end full_adder; architecture struc_dataflow_3 of full_adder is component half_adder port ( x, y : in STD_LOGIC; s, c : out STD_LOGIC); end component; signal hs, hc, tc : STD_LOGIC; begin HA1 : half_adder port map(x, y, hs, hc); HA2 : half_adder port map(hs, z, s, tc);

11/14/2016Structural VHDL175 Παράδειγμα 4-bit Adder c <= tc or hc; end struc_dataflow_3; -- 4-bit-adder library IEEE; use IEEE.std_logic_1164.all; entity adder_4 is port (A, B : in STD_LOGIC_VECTOR (3 downto 0); C0: in STD_LOGIC; S : out STD_LOGIC_VECTOR (3 downto 0); C4: out STD_LOGIC); end adder_4;

11/14/2016Structural VHDL176 Παράδειγμα 4-bit Adder architecture structural_adder_4 of adder_4 is component full_adder port ( x, y, z : in STD_LOGIC; s, c : out STD_LOGIC); end component; signal C : STD_LOGIC_VECTOR (4 downto 0); begin Bit0 : full_adder port map (B(0), A(0), C(0), S(0), C(1)); Bit1 : full_adder port map (B(1), A(1), C(1), S(1), C(2)); Bit2 : full_adder port map (B(2), A(2), C(2), S(2), C(3)); Bit3 : full_adder port map (B(3), A(3), C(3), S(3), C(4)); C(0) <= C0; C4 <= C(4); end structural_adder_4;

11/14/2016Structural VHDL177 Παράδειγμα 4-bit Adder

11/14/2016Structural VHDL178 Ασκήσεις - Προβλήματα 1.Να περιγράψετε σε structural VHDL τον multiplexer ο οποίος εικονίζεται στο παρακάτω σχήμα αφού δημιουργήσετε τα components not1, and3, or4. Επαληθεύστε την λογική με προσομοίωση.

11/14/2016Structural VHDL179 Ασκήσεις - Προβλήματα 2.Να περιγράψετε σε structural VHDL τον decoder ο οποίος εικονίζεται στο παρακάτω σχήμα αφού δημιουργήσετε τα components not1 και nand3. Επαληθεύστε την λογική με προσομοίωση.

11/14/2016Structural VHDL180 Ασκήσεις - Προβλήματα 3.Να περιγράψετε σε structural VHDL το κύκλωμα το οποίο εικονίζεται στο παρακάτω σχήμα αφού δημιουργήσετε τα κατάλληλα components. Επαληθεύστε την λογική με προσομοίωση και διαπιστώστε ότι το κύκλωμα αντιπροσωπεύει έναν full adder.

11/14/2016Structural VHDL181 Ασκήσεις - Προβλήματα 4.Σχεδιάστε το κύκλωμα το οποίο περιγράφει ο παρακάτω κώδικας.

11/14/2016Structural VHDL182 Ασκήσεις - Προβλήματα