Η παρουσίαση φορτώνεται. Παρακαλείστε να περιμένετε

Η παρουσίαση φορτώνεται. Παρακαλείστε να περιμένετε

Καταχωρητες, Μετρητες, Μνημες (Registers, counters, RAMs)

Παρόμοιες παρουσιάσεις


Παρουσίαση με θέμα: "Καταχωρητες, Μετρητες, Μνημες (Registers, counters, RAMs)"— Μεταγράφημα παρουσίασης:

1 Καταχωρητες, Μετρητες, Μνημες (Registers, counters, RAMs)
ΗY 120 "ΨΗΦΙΑΚΗ ΣΧΕΔΙΑΣΗ" Καταχωρητες, Μετρητες, Μνημες (Registers, counters, RAMs)

2 Συγχρονα ακολουθιακα κυκλωματα σε μορφη ολοκληρωμενου κυκλωματος
Συγχρονα ακολουθιακα MSI chips με ff, πολύ κοινα στην αγορα είναι οι Καταχωρητες (registers) Ομαδα ff και συνδυαστικο κυκλωμα για εκτελεση διαφορων λειτουργιων όπως μεταφορα, αποθηκευση και επεξεργασια πληροφοριων. Βασικ μοναδα στην σχεδιαση ακολουθιακων κυκλωματων και CPUs Μετρητες (counters) Ομαδα ff με συνδυαστικο κυκλωμα που διατρεχει διαδοχικα μια προκαθορισμενη σειρα καταστασεων σε συγχρονισμο με τους παλμους του ρολογιου. Χρησιμοποιειται για την δημιουργια σηματων χρονισμου Οι μνημες τυχαιας προσπελασης (Random Access Μemories –RAMs) Συνολο στοιχειων μνημης (=flip-flops) μαζι με κυκλωματα εισαγωγης και εξαγωγης πληροφοριας από αυτά. Η RAM διαφερει από την ROM στο ότι μπορουμε ΚΑΙ να αποθηκευουμε πληροφοριες στην RAM

3 Καταχωρητες Ο απουστερος φαινεται στο σχημα: Αναλογα με το ειδος
του flip-flop εχουμε Μανταλωτες Καταχωρητες Οι μανταλωτες (latches) αποτελουνται από flip-flops που αλλαζουν κατασταση όταν εχουμε παλμο του ρολογιου (CLK=1) ενώ όταν CLK=0 οι εξοδοι παραμενουν σταθερες. Καθ' ον χρονον CLK=1 οι εξοδοι ακολουθουν τις εισοδους Αk=Ik. Ετσι εχουμε μεταφορα και αποθηκευση της εισοδου όταν CLK=1 και διατηρηση της ιδιας καταστασης όταν CLK=0. Αν τα ff είναι ακμοπυροδοτητα ή τυπου Master-Slave τοτε για CLK=0 ή 1 οι εξοδοι είναι αμεταβλητοι και παιρνουν τις τιμες των εισοδων οταν CLK  ή , αναλογα αν το ff ενεργοποιειται με την ανερχομενη ή την κατερχομενη ακμη του παλμου του ρολογιου. Το κυκλωμα ονομαζεται τοτε Καταχωρητης. Μπορει να αντικαταστησει έναν μανταλωτη αλλα με απωλεια ταχυτητας > Q D A4 I4 > Q D A3 I3 > Q D A2 I2 > Q D A1 I1 CLK

4 Καταχωρητες με παραλληλη φορτωση (Parallel Load)
Φορτωση είναι η μεταφορα νεων πληροφοριων στον καταχωρητη Παραλληλη είναι η φορτωση όταν γινεται ταυτοχρονα για όλα τα ff με την ακμη του CLK. H εισοδος του CLK λεγεται και loading enable. Αν δεν θελουμε η φορτωση να γινεται με κάθε παλμο του ρολογιου Μπορουμε να παρεμβαλουμε στην γραμμη του CLK μια πυλη AND με μια εισοδο ελεγχου φορτωσης Η πρακτικη αυτή μπορει να δημιουρ- γησει προβληματα λογω εισαγωγης καθυστερησης στο CLK. 2. Μπορουμε να επεμβουμε στις εισοδους των ff και να παρεμβαλουμε ένα σημα ελεγχου φορτωσης όπως γινεται στα επομενα σχηματα

5 Καταχωρτητες με ελεγχομενη παραλληλη φορτωση
Q Q' S > R A1 Q Q' D > I1 A1 I1 Q Q' S > R A2 I2 Q Q' D > I2 A2 Q Q' S > R A3 I3 Q Q' D > I3 A3 Q Q' S > R A4 I4 Q Q' D > I4 A4 Load CLK Clear Load CLK Clear

6 Υλοποιηση ακολουθιακου κυκλωματος
Ένα ακολουθιακο κυκλωμα αποτελειται από flip-flops και συνδυαστικο κυκλωμα δηλαδη μπορει να υλοποιηθει με έναν καταχωρητη (που παρεχει τα flip-flops) και ένα συνδυαστικο κυκλωμα n Καταχωρητης n Συνδυαστικο κυκλωμα CLK Εξοδος Load Εισοδος

7 Παραδειγμα υλοποιησης ακολουθιακου κυκλωματος
Υλοποιηση με D –τυπου flip-flops Διδεται ο πινακας καταστασεων Παρουσα Εισοδος Επομενη Εξοδος Α1Α x Α1Α y Εξισωσεις εισοδου των FF D1=A1(t+1)=A1x' D2=A2(t+1)=A2 x'+ A2'x= A2x y= A2x A1 A2 D1 D2 x y Q

8 Παραδειγμα υλοποιησης ακολουθιακου κυκλωματος (2)
Θα σχεδιασουμε ένα κυκλωμα με τον ιδιο πινακα καταστασεων αλλα το συνδυαστικο μερος υλοποιειται με ROM Διευθυνση Περιεχομενο Ι1Ι Ι Υ1Υ Υ3 Α1Α x Α1Α y D A1 Q I1 I2 I3 ROM 8x3 23x3 Y1 Y2 Y3 D Q A2 x y Πινακας προγραμματισμου ROM = Πινακας Καταστασεων

9 Καταχωρητες Ολισθησης (Shift Registers)
Καταχωρητες ολισθησης είναι καταχωρητες που ολισθαινουν τα αποταμιευμενα δεδομενα δεξια ή αριστερα. Παραδειγμα: SI=Serial In (σειριακη εισοδος), SΟ=Serial Οut (σειριακη εξοδος) Βασικη εφαρμογη: η σειριακη μεταφορα δεδομενων: Σειριακη λειτουργια = ψηφιακα δεδομενα μεταφερονται και υφιστανται επεξεργασια διαδοχικα ένα bit κάθε φορα ("bit per bit"). Αργη λειτουργια αλλα κανει καλλιτερη χρηση των κυκλωματων. Παραλληλη λειτουργια = Μεταφορα και επεξεργασια δεδομενων κατά ομαδες (4δες, 8αδες, 16δες κλπ) και παραλληλα. Γρηγορη λειτουργια αλλα απαιτει πολλαπλα κυκλωματα. SI SO D Q > D Q > D Q > D Q > Mονοδρομος KO (Unidirectional SR) CLK

10 Απλος καταχωρητης ολισθησης
Απλος καταχωρητης ολισθησης Q Q Q Q 1 2 3 4 In D Q D Q D Q D Q Out Clock Q Q Q Q In Q Q Q Q = Out 1 2 3 4 t 1 t 1 1 t 1 1 2 t 1 1 1 3 t 1 1 1 1 4 t 1 1 1 5 t 6 1 1 1 t 1 1 7 Παραδειγμα διακινησης δεδομενων

11 Σειριακη μεταφορα δεδομενων
Μεταφορα του περιεχομενου ενός καταχωρητη σε έναν άλλο Διαγραμμα χρονισμου Α=1011 SI > SO B=0010 SI > SO CLK A, B 4 bit Shift Registers Cp Shift Control (ρυθμιζει κατά ποσες θεσεις θα γινει η ολισθηση) Χρονος λεξης Παλμος Χρονισμου Α Β Εξοδος Αρχικη κατασταση Μετα τον Τ Μετα τον Τ Μετα τον Τ Μετα τον Τ CLK Cp Shift Control Τ1 Τ2 Τ3 Τ4

12 Αμφιδρομος καταχωρητης ολισθησης με παραλληλη φορτωση
Οι καταχωρητες ολισθησης μπορουν να χρησιμοποιηθουν για την μετατροπη σειριακων διεργασιων σε παραλληλες και αντιστροφα. Ο γενικωτατος καταχωρητης ολισθησης (Bidirectional parallel loading) Παραλληλοι εξοδοι Αν διαθετουμε τις εξοδους των FF μπορουμε να φορτωσουμε σειριακα και να διαβασουμε εν παραλληλω Αν διαθετουμε τις εισοδους των FF μπορουμε να φορτωσουμε εν παραλ- ληλω και να διαβασουμε σειριακα SI SO Παραλληλοι εισοδοι SRI SLO Παραλληλοι εξοδοι SLI SRO Clear Enable > Παραλληλη φορτωση Ολισθηση Δεξια Ολισθηση αριστερα Παραλληλοι εισοδοι

13 Αμφιδρομος καταχωρητης ολισθησης με παραλληλη φορτωση: Το Κυκλωμα
A B C D Λ Q D MUX 4x1 Clear SLO SRO SLI s0 s1 SRI Cp a b c d s1 s εισοδος MUX Λειτουργια αναλλοιωτος ολισθηση δεξια ολισθηση αριστερα παραλληλη φορτωση

14 Καταχωρητης ολισθησης με παραλληλη φορτωση
Q 3 2 1 Clock Parallel input Parallel output Shift/Load Serial input D

15 Παραδειγμα σειριακου τροπου λειτουργιας Σειριακη Προσθεση
Ελεγχος ολισθησης δεξια SI SR > SR A SR SO A: x B: y 0001 S 1110 C z x y z Cp FA S C SI SI SR > SR B SO Q D Λ Τελικο κρατουμενο

16 Παραδειγμα σειριακου τροπου λειτουργιας Σειριακη Προσθεση με JK FF
Ελεγχος ολισθησης δεξια SR SI SR > SR A x SO Συνδυαστικο κυκλωμα Cp S SI K J y SI SR > SR B SO z Q J K Λ Στους δυο καταχωρητες αποθηκευονται οι προσθετέοι, και μετα από την προσθεση ολων των ψηφιων στον καταχωρητη Α αποθηκευεται το αθροισμα και στο JK ff το τελικο κρατουμενο. Ζητουμενο η σχεδιαση του συνδυαστικου μερους του κυκλωματος

17 Παραδειγμα σειριακου τροπου λειτουργιας Σειριακη Προσθεση με JK FF (2)
z=Q(t) x y Q(t+1)=C S J K X X X X X 1 X 0 X 0 X 0 J=xy K=x'y' S=x x y S J > K Q Cp Clear

18 Μετρητες Δυο κατηγοριες μετρητων Μετρητες ριπης (ripple counters)
Συγχρονοι μετρητες (synchronous counters) > Q A1 > Q A2 > Q A3 In Συνδυαστικο κυκλωμα > > >

19 Μετρητες ριπης Δυαδικοι μετρητες ριπης: Αποτελουνται από Τ ή JK flip-flops συνδεδεμενων εν σειρα ετσι ώστε το σημα εξοδου του ενός να είναι σημα ρολογιου για το επομενο στην σειρα Το FF στην λιγωτερη σημαντικη θεση δεχεται τους παλμους του εξωτερικου ρολογιου. 1 Τ > Q A1 1 Τ > Q A2 1 Τ > Q A3 1 Τ > Q A4 A1 A2 A3 A4 15

20 Δυαδικοι μετρητες ριπης
Ο μετρητης που ειδαμε μετραει προς τα πανω και ονομαζεται "UP COUNTER". Αν θελουμε να κατασκευασουμε έναν μετρητη που να μετραει προς τα κατω (DOWN COUNTER) θα πρεπει ειτε να χρησιμοποιησουμε ακμοπυροδοτητα flip-flops που ενεργοποιειται με την ανερχομενη ακμη του ρολογιου, ειτε να χρησιμοποιησουμε τις συμπληρωματικες εξοδους των ff (τις Q')

21 Ενας 3 bit μετρητης ριπης προς τα πανω
1 T Q T Q T Q Clock Q Q Q Q Q Q 1 2 (a) Circuit Clock Q Q 1 Q 2 Count 1 2 3 4 5 6 7 (b) Timing diagram

22 Ενας 3 bit μετρητης ριπης προς τα κατω
1 T Q T Q T Q Clock Q Q Q Q Q Q 1 2 (a) Circuit Clock Q Q 1 Q 2 Count 7 6 5 4 3 2 1 (b) Timing diagram

23 Μετρητες ριπης (2) Ο BCD μετρητης ριπης εχει το πιο κατω διαγραμμα καταστασεων και εχει δυσκολη σχεδιαση Q Q Q Q4 1 1 J > K Q Q' J > K Q Q' J > K Q Q' J > K Q Q' CLK 1 1 1 1 Q1 Q2 Q3 Q4

24 Συγχρονοι Μετρητες Το ff που μετρα το λιγωτερο σημαντικο ψηφιο, το Q1,
Το σημα του ρολογιου εφαρμοζεται ταυτοχρονα σε όλα τα flip-flops τα οποια είναι συνηθως τυπου T ή JK. Το πιο ff θα αλλαξει κατασταση εξαρταται από τις τιμες των T ή JK όταν εφαρμοζεται οπαλμος του ρολογιου. Στα προηγουμενα μαθηματα ειδαμε πως σχεδιαζονται οι συγχρονοι μετρητες. Ενας απλουστερος τροπος σχεδιασης βασιζεται στη μελετη της ακολουθιας των καταστασεων Δυαδικος Μετρητης προς τα πανω ( up counter) Q4 Q3Q2Q1 Το ff που μετρα το λιγωτερο σημαντικο ψηφιο, το Q1, αλλαζει με κάθε παλμο του ρολογιου => JK=11 To Q2 αλλαζει όταν Q1=1 Το Q3 αλλαζει όταν Q2Q1 =1 Το Q4 αλλαζει όταν Q3Q2Q1 =1

25 Πινακας καταστασεων μετρητη 3 bit up counter
Clock cycle Q Q Q 2 1 Q changes 1 Q changes 1 1 2 2 1 3 1 1 4 1 5 1 1 6 1 1 7 1 1 1 8

26 Δυαδικος Μετρητης προς τα πανω ( up counter)
Από τα προηγουμενα καταληγουμε στο πιο κατω κυκλωμα Για μετρηση προς τα κατω αρκει να συνδεσουμε στις πυλες AND την ανεστραμενη εξοδο των flip-flops. (την Q'). Δεν εχει σημασια αν τα flip-flops διεγειρονται με την κατερχομενη ή την ανερχομενη ακμη του ρολογιου. Q K Λ J Q K Λ J Q K Λ J Q K Λ J CLK Next Enable

27 Μετρητης Up or Down CLK Up Down Up Down Λειτουργια 0 0 Αδρανης
Λ Τ Q' Q Λ Τ Q' Q Λ Τ Q' Q Q' Q Λ Τ CLK Up Down Up Down Λειτουργια Αδρανης Μετρηση προς τα κατω Μετρηση προς τα πανω Συμπληρωνεται η εξοδος

28 Ενας συγχρονος μετρητης προς τα πανω 4 bit
1 T Q T Q T Q T Q Q Q Q Q 1 2 3 Clock Q Q Q Q Clock Q Q 1 Q 2 Q 3 Count 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 1

29 Εισαγωγη δυνατοτητας μηδενισμου και ενεργοποιησης
Enable T Q T Q T Q T Q Clock Q Q Q Q Clear

30 Συγχρονος μετρητης BCD (δεκαδικος)
Μετραει από το 0000 στο 1001 και ξανα στο 0000 Αρκετα πολυπλοκη δομη. Σχεδιαση συμφωνα με την γενικη μεθοδο. Ο πινακας καταστασεων και διεγερσεων για Τ ff φαινεται πιο κατω Ακολουθια καταστασεων Εισοδοι FF Εξοδος Q4Q3Q2Q T4T3T2T y 0000 T1 = 1 T2 = Q4'Q1 T3 = Q2Q1 T4 = Q4Q1+Q3Q2Q1 y = Q4Q1

31 Δυαδικος Μετρητης με παραλληλη φορτωση
Μερικες φορες θελουμε να φερουμε τον μετρητη σε μια ορισμενη κατασταση αποτην οποια θα αρχισει να μετραει προς τα πανω ή προς τα κατω. Αυτό επιτυγχανεται με την παραλληλη φορτωση. Η γενικη μορφη ενός μετρητη με παραλληλη φορτωση φαινεται πιο κατω: Clear CLK Load Count Λειτουργια Χ Χ Χ Μηδενισμος FF X Αμεταβλητος  Χ Φορτωση  Μετρηση Λειτουργει και σαν καταχωρητης με δυνατοτητα φορτωσης και αυξησης σταδιακα κατά 1 (incrementation) Mπορει να χρησιμοποιηθει για μετρηση modulo-N οπου 1<Ν<16 Το CLEAR είναι ασυγχρονο ενώ το LOAD είναι συγχρονο Το CARRY =1 όταν Α4Α3Α2Α1=1 Load Count A4 A3 A2 A1 Clear I4 I3 I2 I1 > CLK Carry

32 Μετρητης MOD 6 1η Λυση > 1 0 1 0 = 1010 …1011121314151011…
CLK Load Count=1 Carry Clear I4 I3 I2 I1 A4 A3 A2 A1 1η Λυση 1 = 1010 …1011121314151011… > CLK Load Count=1 Carry Clear I4 I3 I2 I1 A4 A3 A2 A1 2η Λυση 1 = 3 …34567834…

33 Μετρητης MOD 6 (συνεχεια)
Load Count=1 1 όταν Α4Α3Α2Α1=0101=5 A4 A3 A2 A1 Clear 1 I4 I3 I2 I1 > CLK 3η Λυση Carry …01234501… Load=0 3η Λυση A4 A3 A2 A1 Count=1 Clear I4 I3 I2 I1 > 5=0101 6= Σπινθηρας!! 0=0000 Carry Χ Χ Χ Χ …012345 01… 6

34 Eνας μετρητης modulo-6 με συγχρονο reset
Enable Q 1 2 D Load Clock 3 4 5 Count κυκλωμα

35 Eνας μετρητης modulo-6 με ασυγχρονο reset
Q Clock 1 2 (a) Κυκλωμα Count 3 4 5

36 Μετρητης 4 bit με D flip-flops
Clock Enable D Q 1 2 3 Output carry

37 Μετρητης 4 bit με παραλληλη φορτωση
Enable D Q 1 2 3 Load Clock Output carry

38 Υλοποιηση με CPLD

39 Κυκλωματα χρονισμου Δημιουργια χρονου λεξης (Word time)
Χρονος λεξης = διαρκεια bit x μηκος λεξης Εστω μηκος λεξης 8 bits => χρονος λεξης = 8 παλμοι του ρολογιου. Start Word time S O> R Q Start Stop CLK Stop 3 bit μετρητης Count Enable

40 Πολυφασικα ρολογια Πολυφασικα σηματα χρονισμου
Πολυφασικα ρολογια Πολυφασικα σηματα χρονισμου Πολλες φορες χρειαζομαστε παλμικα σηματα {φ1,φ2,…φn} τα οποια παιρνουν την τιμη "1" σε διαδοχικες περιοδους του ρολογιου με ορισμενη περιοδικοτητα. Υπαρχουν δυο τροποι παραγωγης αυτων των σηματων Με την βοηθεια μετρητη δακτυλιου (ring counter) Με μετρητη και αποκωδικοποιητη D Q Λ CLK φ φ φ φ4 Αρχικη κατασταση 1000 φ φ2 φ3 φ4 μετρητης 2 bit CLK 2 σε 4 Decoder

41 4 – φασικη παλμοσειρα φ1 φ2 φ3 φ4 D Q Λ D Q Λ D Q Λ D Q Λ CLK φ1 φ2 φ3
φ φ φ φ4 D Q Λ D Q Λ D Q Λ D Q Λ CLK φ1 φ2 φ3 φ4

42 Κυκλωματα παραγωγης n-φασικων σηματων χρονισμου
Start CLK n-bit μετρητης δακτυλιου φ1 φ2 φ3 φ4 2 σε 4 Decoder CLK 2 bit μετρητης Start clear 4-φασικη παλμοσειρα

43 Μετρητης Johnson Αναστροφη ουρας
α/α Εξοδοι FF AND gates για 8-φασικη παλμοσειρα ABCE Α'Ε' Α Β' Β C' C E' A E A'B B'C C'E Προβλημα η παγιδευση σε αχρησιμοποιητες καταστασεις (υπαρχουν 16 καταστασεις και χρησιμοποιουνται οι 8) D Q Clock 1 n Reset A B C E

44 Μοναδες μνημης Μνημη τυχαιας προσπελασης – RAM
Συλλογη κυταρων αποθηκευσης + σχετικα κυκλωματα για εισοδο-εξοδο δεδομενων. Η προσπελαση γινεται σε οποιαδηποτε θεση => random access Οι πληροφοριες αποθηκευονται ή ανακαλουνται κατά ομαδες bits που ονομαζονται words (λεξεις). Μια λεξη μπορει να παριστανει έναν αριθμο, μια εντολη, έναν ή περισσοτερους χαρακτηρες Η επικοινωνια μιας μνημης με τον εξω κοσμο γινεται με Γραμμες εισοδου και εξοδου δεδομενων (data input και output lines). Γραμμες επιλογης διευθυνσης (Address selection lines) Γραμμες ελεγχου (control lines)

45 Χονδρικη περιγραφη της RAM
Χονδρικο διαγραμμα μνημης τυχαιας προσπελασης: Διευθυνση Μνημης Περιεχομενο n Data In n Μοναδα μνημης 2k λεξεις των n bits/word Data out Address lines k Read Write

46 Βασικες λειτουργιες της μνημης τυχαιας προσπελασης
Δυο είναι οι βασικες λειτουργιες της μνημης τυχαιας προσπελασης Εγγραφη (Write) δεδομενων Μεταφορα της διευθυνσης της επιθυμητης θεσης στις γραμμες διευθυνσης Μεταφορα των δεδομενων στις γραμμες εισοδου δεδομενων Ενεργοποιηση του σηματος ελεγχου Write Αναγνωση (Read) δεδομενων Μεταφορα της διευθυνσης της επιθυμητης λεξης στις γραμμες διευθυνσης. Ενεργοποιηση του σηματος ελεγχου Read. Aναγνωση των δεδομενων

47 Τυποι Μνημης Αναλογα με την μεθοδο προσπελασης
RAM (Random access Mem.) SAM (Sequential access Mem.) Αναλογα με την δομη τους Static RAM (περιεχει flip-flops) Dynamic RAM (περιεχει πυκνωτες, χρειαζεται ανανεωση του περιεχομενου της => refreshing) Aναλογα με την διαρκεια ζωης του περιεχομενου της Volatile (Προσωρινη – χανει το περιεχομενο μολις αφαιρεθει η τροφοδοσια της) Non-volatile (μονιμη αποθηκευση)

48

49

50

51

52

53


Κατέβασμα ppt "Καταχωρητες, Μετρητες, Μνημες (Registers, counters, RAMs)"

Παρόμοιες παρουσιάσεις


Διαφημίσεις Google