Εισαγωγή στη VHDL 5/8/2018 Εισαγωγή στη VHDL.

Slides:



Advertisements
Παρόμοιες παρουσιάσεις
Τι είναι ο προγραμματισμός
Advertisements

Συνδυαστικά Κυκλώματα
ΡΟΗ Υ: ΥΠΟΛΟΓΙΣΤΙΚΑ ΣΥΣΤΗΜΑΤΑ
Το υλικο του Υπολογιστη
ΤΑΞΗ Γ ΓΥΜΝΑΣΙΟΥ Βασικές Έννοιες Επανάληψη (1).
Αρχιτεκτονική Υπολογιστών Γλώσσες Μηχανής
Διαδικασία ανάπτυξης Προσδιορισμός απαιτήσεων Αρχιτεκτονικός Σχεδιασμός Λεπτομερής Σχεδιασμός Κωδικοποίηση Έλεγχος Παράδοση Συστήματος Λειτουργία - Συντήρηση.
Συνδιαστικά Λογικά Κυκλώματα
Ημιαγωγοί – Τρανζίστορ – Πύλες - Εξαρτήματα
ΕΝΟΤΗΤΑ 10Η Η ΓΛΩΣΣΑ VHDL: ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ
Μνήμη και Προγραμματίσιμη Λογική
ΠΡΟΗΓΜΕΝΑ ΨΗΦΙΑΚΑ ΣΥΣΤΗΜΑΤΑ
Άλγεβρα Boole και Λογικές Πύλες
ΠΛΗΡΟΦΟΡΙΑΚΑ ΣΥΣΤΗΜΑΤΑ
Σχεδίαση αλγορίθμων (2ο μέρος)
ΑΡΧΙΤΕΚΤΟΝΙΚΗ & ΟΡΓΑΝΩΣΗ ΥΠΟΛΟΓΙΣΤΩΝ Κεφάλαιο 1 Εισαγωγή
συγχρονων ακολουθιακων κυκλωματων
Συνδυαστικά Κυκλώματα
ΗΥ302 Διδακτική της Πληροφορικής Η γλώσσα προγραμματισμού LOGO Writer Ομάδα Εργασία: Αλεβίζου Βασιλική (Α.Μ.:1029) Κοφφινά Ιωάννα (Α.Μ.:1035) Τριανταφυλλίδου.
Ανάπτυξη Πρωτοτύπου Λογισμικού
Εφαρμογή του πακέτου Xilinx ISE και Πρωτοτυποποιητικής Διάταξης Digilent D A στην κυκλωματική προσομοίωση αριθμητικού διαιρέτη αποκατάστασης υπολοίπου,
Ενσωματωμένα Συστήματα Ενότητα 1: Αρχιτεκτονική Ενσωματωμένων Συστημάτων και Μέθοδοι Σχεδίασης. Διδάσκων: Νικόλαος Χ Πετρέλλης, Επίκουρος Καθηγητής. Τμήμα.
Προγραμματιζόμενοι Λογικοί Ελεγκτές (PLC’s) – Ladder diagram
V ERILOG 1. Τα απολύτως απαραίτητα. Verilog - Λυμπέρης Σπύρος2 Verilog - Γιατί; Σχεδίαση επικεντρωμένη στην αρχιτεκτονική Διαδικασία σύνθεσης Εύκολη συντήρηση.
ΛΑΔΑΚΑΚΟΣ ΘΑΛΗΣ Α.Μ ΔΙΑΧΕΙΡΗΣΗ ΠΛΗΡΟΦΟΡΙΑΚΩΝ ΣΥΣΤΗΜΑΤΩΝ
ΠΑΡΑΔΟΣΕΙΣ ΜΑΘΗΜΑΤΟΣ «ΜΙΚΡΟΫΠΟΛΟΓΙΣΤΕΣ 1» ΕΙΣΑΓΩΓΗ ΟΚΤΩΒΡΙΟΣ 2005.
Σχεδίαση hardware και προγραμματισμός βασικές (αλλά σύγχρονες) μεθοδολογίες Βασίλης Παλιουράς.
Λογικές πύλες Λογικές συναρτήσεις
Εθνικό και Καποδιστριακό Πανεπιστήμιο Αθηνών – Τμήμα Πληροφορικής και Τηλεπικοινωνιών 1 Κεφάλαιο 4 Σημασιολογία μιας Απλής Προστακτικής Γλώσσας Προπτυχιακό.
ΚΙΝΔΥΝΟΙ (HAZARDS) ΣΤΑ ΣΥΝΔΥΑΣΤΙΚΑ ΚΥΚΛΩΜΑΤΑ Hazard είναι κάθε στιγμιαίο λάθος (glitch) που εμφανίζεται στην έξοδο ενός συνδυαστικού κυκλώματος Οφείλεται.
Μικροεπεξεργαστές Λειτουργία - Εξέλιξη
ΗΥ-220 Verilog HDL. Τα βασικά.... ΗΥ-220 – Ιάκωβος Μαυροειδής2 Βασική Ροή Σχεδίασης Requirements SimulateRTL Model Gate-level Model Synthesize SimulateTest.
Η δημοφιλέστερη γλώσσα Hardware
ΗΥ220 - Βασίλης Παπαευσταθίου1 ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων Χειμερινό Εξάμηνο Verilog: Τα βασικά.
V ERILOG 3. Coding styles. HY Παπαευσταθίου Γιάννης2 Τα στυλ του κώδικα Και εμάς τι μας νοιάζει; –Διαφορετικός κώδικας για διαφορετικούς σκοπούς.
6/25/2015HY220: Ιάκωβος Μαυροειδής1 Computer Aided Design CAD tools Functional DesignSpecificationsLogic DesignCircuit DesignPhysical DesignFabrication.
ΕΙΣΑΓΩΓΗ ΣΤΗ VHDL ΚΑΙ ΥΛΟΠΟΙΗΣΗ ΕΡΓΑΣΤΗΡΙΑΚΩΝ ΑΣΚΗΣΕΩΝ
Σχεδίαση ψηφιακών συστημάτων Ενότητα 1: Εισαγωγή Ιωάννης Βογιατζής Τμήμα Μηχανικών Πληροφορικής ΤΕ Ανοικτά Ακαδημαϊκά Μαθήματα στο ΤΕΙ Αθήνας Το περιεχόμενο.
ΠΑΡΑΔΕΙΓΜΑ: ΤΑ ΕΠΙΠΕΔΑ ΥΛΙΚΟΥ – ΛΟΓΙΣΜΙΚΟΥ ΣΕ ΕΝΑΝ ΥΠΟΛΟΓΙΣΤΗ.
Ψηφιακή Σχεδίαση Εργαστήριο Τετάρτη 14/10/2015. Μέρος 1ο Ελαχιστόροι-Μεγιστόροι.
ΨΗΦΙΑΚΑ ΣΥΣΤΗΜΑΤΑ Διάλεξη 8: Ολοκληρωμένα κυκλώματα – Συνδυαστική λογική – Πολυπλέκτες – Κωδικοποιητές - Αποκωδικοποιητές Δρ Κώστας Χαϊκάλης ΨΗΦΙΑΚΑ ΣΥΣΤΗΜΑΤΑ.
11/14/2016Structural VHDL148 Structural VHDL Παράδειγμα Multiplexer Component Component specification Port map command Unconnected outputs Unconnected.
ΤΜΗΜΑ ΕΚΠΑΙΔΕΥΤΙΚΩΝ ΗΛΕΚΤΡΟΛΟΓΙΑΣ
Έβδομο μάθημα Ψηφιακά Ηλεκτρονικά.
Τρίτο μάθημα Ψηφιακά Ηλεκτρονικά.
Ένατο μάθημα Ψηφιακά Ηλεκτρονικά.
Όγδοο μάθημα Ψηφιακά Ηλεκτρονικά.
Όνομα: Σεβδαλής Κυριάκος
Συστήματα CAD Πανεπιστήμιο Θεσσαλίας Σχολή Θετικών Επιστημών
Πρόγραμμα Προπτυχιακών Σπουδών Ροή Λ: Λογισμικό

Ψηφιακή Σχεδίαση Εργαστήριο Τετάρτη 9/12/2015.
ΑΚΟΛΟΥΘΙΑΚΑ ΣΤΟΙΧΕΙΑ.
Διάλεξη 11: Ανάλυση ακολουθιακών κυκλωμάτων Δρ Κώστας Χαϊκάλης

Programmable Logic Technologies
Πτυχιακή Εργασία Καυκαλούδη Ευθυμία Μουσκεφτάρα Δήμητρα
Πίνακες διέγερσης Q(t) Q(t+1) S R X X 0
Χειμερινό εξάμηνο 2017 Πέμπτη διάλεξη
Λογικές πύλες και υλοποίηση άλγεβρας Boole ΑΡΒΑΝΙΤΗΣ ΚΩΝΣΤΑΝΤΙΝΟΣ(ΣΥΝΕΡΓΑΤΕΣ):ΔΗΜΗΤΡΙΟΣ ΔΑΒΟΣ- ΜΑΡΙΑ ΕΙΡΗΝΗ KAΛΙΑΤΣΗ-ΦΡΑΤΖΕΣΚΟΣ ΒΟΛΤΕΡΙΝΟΣ… ΕΠΠΑΙΚ ΑΡΓΟΥΣ.
Ψηφιακή Σχεδίαση εργαστήριο
Προσομοίωση σφαλμάτων
ΕΙΣΑΓΩΓΗ ΣΤΗ UML ΕΙΣΑΓΩΓΗ ΣΤΗ UML.
Σχεσιακεσ βασεισ δεδομενων
ΠΡΟΓΡΑΜΜΑΤΙΣΤΙΚΑ ΥΠΟΔΕΙΓΜΑΤΑ  Προγραμματιστικό Υπόδειγμα: Είναι ένα πρότυπο ανάπτυξης προγραμμάτων, δηλ. μια καθορισμένη μεθοδολογία με βάση την οποία.
ΗΜΥ-210: Λογικός Σχεδιασμός Εαρινό Εξάμηνο 2005
Εργασίες 9ου – 10ου Εργαστηρίου
ΗΜΥ-210: Λογικός Σχεδιασμός Εαρινό Εξάμηνο 2005
Βασικές έννοιες (Μάθημα 1) Τίτλος: Μερικές βασικές έννοιες της Πληροφορικής 22/11/2018 Ξένιος Αντωνιάδης.
Σύνθεση Κυκλωμάτων με εργαλεία CAD
Μεταγράφημα παρουσίασης:

Εισαγωγή στη VHDL 5/8/2018 Εισαγωγή στη VHDL

VHDL VHSIC Hardware Description Language VHSIC : Very-High-Speed Integrated Circuits 5/8/2018 Εισαγωγή στη VHDL

Εισαγωγή στη VHDL Ιστορική Αναδρομή Λόγοι για την χρήση της VHDL Ανάπτυξη ηλεκτρονικού κυκλώματος Σύνθεση (Synthesis) Επίπεδα προγραμματισμού σε VHDL Προσομοίωση (Simulation) Σχεδίαση με τη λογική της Ιεραρχίας VHDL component Γλώσσες Προγραμματισμού 5/8/2018 Εισαγωγή στη VHDL

Ιστορική Αναδρομή η ανάπτυξη της VHDL ξεκίνησε στις αρχές της δεκαετίας του 1980 από το American Defense Department βασίστηκε στην γλώσσα προγραμματισμού ADA καθιερώθηκε το 1987 (VHDL-87) από την IEEE (Institute of Electrical and Electronics Engineers) 1993: βελτιωμένη έκδοση της γλώσσας (VHDL-93) σήμερα αποτελεί μία από τις πλέον σημαντικές καθιερωμένες γλώσσες προγραμματισμού για τον ορισμό, τον έλεγχο και τη σχεδίαση ηλεκτρονικών κυκλωμάτων 5/8/2018 Εισαγωγή στη VHDL

Λόγοι για την χρήση της VHDL σχεδιασμός ηλεκτρονικών components (στοιχείων) ανεξάρτητος τεχνολογίας (technology-independent) ανεξάρτητος τεχνολογίας για μία δεδομένη τεχνική οικογένεια υποστηρίζει το περιβάλλον ανάπτυξης ψηφιακών κυκλωμάτων: Ιεραρχιών (Structural VHDL) Παράλληλου σχεδιασμού (Concurrent VHDL) Ακολουθιακού σχεδιασμού (Sequential VHDL) Ccomponents τα οποία μπορούν να ξαναχρησιμοποιηθούν Έλεγχος σφαλμάτων και επιβεβαίωση (error management – verification) προσομοίωση του κώδικα VHDL ενός component (simulator) 5/8/2018 Εισαγωγή στη VHDL

Λόγοι για την χρήση της VHDL επιτρέπει την εστίαση στη λογική του προβλήματος, χωρίς να μας απασχολούν προβλήματα όπως χρονισμός, μέγεθος, επιλογή components, οδήγηση ισχύος, σήματα εξόδου κτλ., σε αντίθεση με παραδοσιακά σχηματικά σχέδια όντας καθιερωμένη γλώσσα προγραμματισμού, η VHDL επιτρέπει τη μεταφορά κώδικα μεταξύ διαφόρων αναπτυξιακών συστημάτων modeling, π.χ. ViewLogic Mentor Graphics Synopsys δεν χρησιμοποιείται για σχεδιασμό αναλογικών ηλεκτρονικών Εν αναμονή της AHDL (Analog HDL) … 5/8/2018 Εισαγωγή στη VHDL

Ανάπτυξη ηλεκτρονικού κυκλώματος ανάπτυξη πρωτοτύπου έλεγχός του με μία γεννήτρια σημάτων (signal generator) και έναν παλμογράφο (oscilloscope) 5/8/2018 Εισαγωγή στη VHDL

Ανάπτυξη ηλεκτρονικού κυκλώματος ανάπτυξη κατάλληλων εργαλείων σε υπολογιστή. προϋποθέτει την ανάπτυξη σε λογισμικό (software) ενός μοντέλου του πρωτοτύπου (prototype), μίας γεννήτριας σημάτων (signal generator) και ενός λογικού αναλυτή (logic analyser) επιτρέπει την ακριβή προσομοίωση 5/8/2018 Εισαγωγή στη VHDL

Ανάπτυξη ηλεκτρονικού κυκλώματος Για να ελαττωθεί ο χρόνος σχεδιασμού εξισώσεων Boole αναπτύχθηκαν νέα εργαλεία τα οποία “μεταφράζουν” τις εξισώσεις σε σχηματικό με πύλες Η διαδικασία αυτή ονομάστηκε Λογική Σύνθεση. 5/8/2018 Εισαγωγή στη VHDL

Ανάπτυξη ηλεκτρονικού κυκλώματος Προβλήματα Κάθε εργαλείο χρησιμοποιεί την δική του γλώσσα. Η εξαφάνιση μιας γενιάς ηλεκτρονικών εξαρτημάτων από την αγορά σήμαινε τον επανασχεδιασμό από την αρχή. Η αύξηση της πολυπλοκότητας των σχεδίων καθιστούσε δύσκολο τον έλεγχο της λογικής του κυκλώματος. Η VHDL έλυσε αρκετά από τα παραπάνω προβλήματα, ενώ είναι δυνατός ο έλεγχος κάθε component (στοιχείου). 5/8/2018 Εισαγωγή στη VHDL

Ανάπτυξη ηλεκτρονικού κυκλώματος η VHDL δίνει επίσης τη δυνατότητα ανάπτυξης testbenches, όπου το πρωτότυπο μπορεί να προέρχεται από άλλο σχεδιαστικό εργαλείο 5/8/2018 Εισαγωγή στη VHDL

Σύνθεση (Synthesis) το λογισμικό της Σύνθεσης “μεταφράζει”, ελαχιστοποιεί και αναγνωρίζει τους χρονικούς περιορισμούς ενός κυκλώματος το οποίο περιγράφεται από κώδικα σε VHDL Logic Synthesis “Mεταφράζει” και ελαχιστοποιεί συναρτήσεις Boole σε πύλες RTL (Register Transfer Level) Synthesis το ίδιο με την Logic Synthesis και επί πλέον “μεταφράζει” την ακολουθιακή λογική σε πύλες και flip-flops (state machines) Behavioral Synthesis Ενα component μπορεί να χρησιμοποιηθεί για πολλές παράλληλες και ακολουθιακές λογικές 5/8/2018 Εισαγωγή στη VHDL

Σύνθεση (Synthesis) process(sel,a,b) begin if sel=‘1’ then c<=b; else c<=a; end if; end process; 5/8/2018 Εισαγωγή στη VHDL

Σύνθεση (Synthesis) σε μεγάλα σχέδια υπάρχει η ανάγκη επανάληψης συναρτήσεων (functions) σε διάφορα μέρη ενώ στο software αυτό είναι εύκολο με την χρήση των functions στο hardware είναι δυσκολότερο Παράδειγμα Behavioral Synthesis με χρήση πινάκων χρόνου. 5/8/2018 Εισαγωγή στη VHDL

Επίπεδα προγραμματισμού σε VHDL 5/8/2018 Εισαγωγή στη VHDL

Επίπεδα προγραμματισμού σε VHDL Functional Level: περιγραφή και εξομοίωση ενός αλγορίθμου δεν απαιτείται ο αλγόριθμος να περιέχει πληροφορία χρονισμού Behavioral Level: περιγραφή της “συμπεριφοράς” και του χρονισμού δεν απαιτείται ορισμός αρχιτεκτονικής ταχύτατη ανάπτυξη και έλεγχος (simulation-verification) του μοντέλου RT Level (Register Transfer Level): περιγραφή ασύγχρονων και σύγχρονων state machines, data paths, operators, registers, … Logic or Gate Level: Περιγραφή με άλγεβρα Boole ή κύκλωμα σε πύλες 5/8/2018 Εισαγωγή στη VHDL

Προσομοίωση (Simulation) η ακρίβεια στην προσομοίωση εξαρτάται από το επίπεδο προγραμματισμού ο χρόνος της προσομοίωσης αυξάνει καθώς κατεβαίνουμε σε επίπεδο προγραμματισμού 5/8/2018 Εισαγωγή στη VHDL

Σχεδίαση με τη λογική της Ιεραρχίας η κατάλληλη επιλογή επιπέδου προγραμματισμού και η χρήση λογικής Ιεραρχίας (Structural VHDL) συνήθως μειώνει την πολυπλοκότητα του προβλήματος 5/8/2018 Εισαγωγή στη VHDL

VHDL component δημιουργία Βιβλιοθηκών (Libraries) με components 5/8/2018 Εισαγωγή στη VHDL

Γλώσσες Προγραμματισμού VHDL VERILOG (βασισμένη στη C) 5/8/2018 Εισαγωγή στη VHDL