Συστήματα CAD Πανεπιστήμιο Θεσσαλίας Σχολή Θετικών Επιστημών

Slides:



Advertisements
Παρόμοιες παρουσιάσεις
Εφαρμογές Ψηφιακών Ηλεκτρονικων
Advertisements

Συγχρονα Ακολουθιακα Κυκλωματα Flip-Flops Καταχωρητες
9o εξάμηνο Ηλεκτρονικός Κύκλος Εργαστήριο στα FPGA
ΗΥ220 - Βασίλης Παπαευσταθίου1 ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων Χειμερινό Εξάμηνο Χρονισμός Σύγχρονων Κυκλώματων, Καταχωρητές και Μανταλωτές.
Το Πρόβλημα Routing and Path Coloring και οι εφαρμογές του σε πλήρως οπτικά δίκτυα Ευχαριστίες: οι διαφάνειες αυτές βασίστηκαν εν μέρει στην παρουσίαση.
ΗΥ220 - Βασίλης Παπαευσταθίου1 ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων Χειμερινό Εξάμηνο Ρολόγια και Χρονισμός.
6/15/2015HY220: Ιάκωβος Μαυροειδής1 HY220 Static Random Access Memory.
6/26/2015HY220: Ιάκωβος Μαυροειδής1 HY220 Asynchronous Circuits.
HY220: Ιάκωβος Μαυροειδής
Τεχνικές διαμόρφωσης της επιφάνειας ηλιακών κυττάρων Surface Texturing Optical Lithography + Etching Alkaline or Acidic Etching Mechanical V-Grooving Laser.
Πανεπιστήμιο Θεσσαλίας Εργαστήριο Ηλεκτρονικής. Σύντομο ιστορικό  Ιδρύθηκε το 2001  5 μέλη ΔΕΠ  6 συνεργαζόμενοι ερευνητές  Περίπου 30 υποψήφιοι διδάκτορες.
Πρόγραμμα Αγωγής Υγείας «Τρώω σωστά,μεγαλώνω σωστά» Σχολικό έτος ο Νηπιαγωγείο Πατρών.
6-1 Ορισμοί Στατικά – δυναμικά στοιχεία: – Δυναμικά – με ρολόι – Στατικά – χωρίς ρολόι Αλλά: στατική αποθήκευση -- δυναμική αποθήκευση: –Στατική αποθήκευση.
5. Δικαστική προστασία στην ΕΕ. Σημασία και κατανομή αρμοδιοτήτων Σημασία δικαστικής επίλυσης διακρατικών διαφορών (Διαφορές και πόλεμοι, β παγκόσμιος.
Τα φύλα στη λογοτεχνία Τάξη: Α΄ Λυκείου. Α΄φάση: Πριν από την ανάγνωση (ενδεικτικός χρόνος: τρεις διδακτικές ώρες) 1 η ώρα : τα παιδιά συζήτησαν για τα.
Πρόγραμμα Δημιουργικής χρήσης της τεχνολογίας στα Μαθηματικά μέσω της αυτενέργειας των μαθητών Ευρωπαϊκό Πανεπιστήμιο Κύπρου – Ερευνητικό Εργαστήριο για.
ΔIAXEIPIΣH MNHMHΣ.
ΔΙΑΛΕΞΗ 4 Υποθρεψία Ορισμός Ταξινόμηση Συχνότητα Εκτίμηση
Ειδικά θέματα σε κινητά και ασύρματα δίκτυα
Συστήματα CAD Πανεπιστήμιο Θεσσαλίας Σχολή Θετικών Επιστημών
Global imbalances and the paradox of thrift
Συστήματα CAD Πανεπιστήμιο Θεσσαλίας Σχολή Θετικών Επιστημών
Συστήματα CAD Πανεπιστήμιο Θεσσαλίας Σχολή Θετικών Επιστημών
Οι τεχνικές των Πωλήσεων
Σύστημα στήριξης καθρέπτη σε Dobsonian Τηλεσκόπια.
Συστήματα CAD Πανεπιστήμιο Θεσσαλίας Σχολή Θετικών Επιστημών
Θεωρία Γραφημάτων Ενότητα 9 Μετασχηματισμοί Υπολογιστικών Προβλημάτων
Συστήματα CAD Πανεπιστήμιο Θεσσαλίας Σχολή Θετικών Επιστημών
Είδη των Cache Misses: 3C’s
Δρομολόγηση (routing) σε δίκτυα
… στέλνοντας μυστικά σε μία κάρτ ποστάλ…
ΧΡΟΝΙΚΟΣ ΠΡΟΓΡΑΜΜΑΤΙΣΜΟΣ ΕΡΓΩΝ
Περιορισμοί Αλγοριθμικής Ισχύος – Κατηγοριοποίηση πολυπλοκοτήτων
ΕΡΓΑΣΙΑ ΓΙΑ ΤΟ ΜΑΘΗΜΑ ΕΚΠΑΙΔΕΥΤΙΚΗ ΤΕΧΝΟΛΟΓΙΑ- ΠΟΛΥΜΕΣΑ ΤΩΝ ΣΠΟΥΔΑΣΤΩΝ ΔΡΑΓΟΓΙΑΝΝΗΣ ΔΗΜΗΤΡΙΟΣ ΛΕΩΤΣΑΚΟΥ ΜΑΤΙΝΑ.
αναγκαίο κακό ή δώρο εξ’ ουρανού;
Καλλυντήριο Νομός Ροδόπης
Κάνε διπλό κλικ πάνω στην εικόνα και ανοίγει το power point
Σχεδίαση CMOS Ψηφιακών Ολοκληρωμένων Κυκλωμάτων
ΠΡΟΓΡΑΜΜΑ ΚΑΘΗΜΕΡΙΝΌΤΗΤΑΣ
Οικολογική Κρίση Μαρουλιώ Πασχάλη, ΠΕ 15.
~ΕΡΓΑΣΙΑ ΓΙΑ ΤΗΝ Κ.Π.Α~ ΑΝΑΚΥΚΛΩΣΗ-ΠΕΡΙΒΑΛΛΟΝ
για επιφάνειες και ανοξείδωτα Οικονομική λύση για καθαρισμό επιφανειών
Απ’ το ΚΕΔΔΥ στο ΚΕΔΔΥ Ξάνθη 21/3/2017.
Τίτλος εργασίας« Εργαλείο εκπαίδευσης και κατάρτισης για στήσιμο αγώνων» Ιούλιος 2017.
Κανοντας μαθημα με… Σχεδια εργαςιας (projects) ςε τμημα ενταξης ΠΑΡΟΥΣΙΝΑ ΜΑΡΙΑ ΔΑΣΚΑΛΑ Τ.Ε. ΔΗΜΟΤΙΚΟΥ ΣΧΟΛΕΙΟΥ ΠΑΡΑΝΕΣΤΙΟΥ.
ΠΕΡΙΒΑΛΛΟΝΤΙΚΗ ΕΚΠΑΙΔΕΥΣΗ
Τίτλος εργασίας: « Εργαλείο εκπαίδευσης και κατάρτισης για στήσιμο αγώνων» Ιούλιος 2017.
Παρουσίαση των δεδομένων με γραφικό τρόπο
Τίτλος εργασίας : « Εργαλείο εκπαίδευσης και κατάρτισης για στήσιμο αγώνων» Ιούλιος 2017.
Εισαγωγή στα Ευρετήρια
ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων Χειμερινό Εξάμηνο 2007
ΜΟΥΣΙΚΗ Α1 β΄ Τετράμηνο
Είδη των Cache Misses: 3C’s
ΗΜΥ-210: Λογικός Σχεδιασμός Εαρινό Εξάμηνο 2005
ΗΜΥ-210: Λογικός Σχεδιασμός Εαρινό Εξάμηνο 2005
Παράδειγμα χρήσης λογισμικού παρουσίασης
ΔΙΟΙΚΗΣΗ & ΔΙΑΧΕΙΡΙΣΗ ΕΡΓΩΝ
ΤΙΤΛΟΣ ΠΡΟΓΡΑΜΜΑΤΟΣ : ΒΑΣΙΚΕΣ ΓΝΩΣΕΙΣ Α΄ΒΟΗΘΕΙΩΝ
الشـــغل والطـــاقة الشغل
ΑΝΤΙΓΡΑΦΗ DNA.
«Προώθηση οίνων σε αγορές τρίτων χωρών»
Είδη των Cache Misses: 3C’s
ΚΕΣΠΕΜ ΝΟΜΟΥ ΞΑΝΘΗΣ Εκπαιδευτική Ομάδα: Ταξίδου Δήμητρα & Σίμογλου Αθανασία Επιστημονική Υπεύθυνη : Μαρία Ζωγραφάκη Επόπτρια: Λένα Παπαδοπούλου.
Ανώνυμη Εταιρία.
Αιμιλία Γ. Ευθυμίου Δ.Ν. - Δικηγόρος
Είδη των Cache Misses: 3C’s
河川復育【river rehabitation】
ΓΕΩΛΟΓΙΑ-ΓΕΩΓΡΑΦΙΑ Β’ΓΥΜΝΑΣΙΟΥ
ΔΙΟΙΚΗΣΗ & ΔΙΑΧΕΙΡΙΣΗ ΕΡΓΩΝ
Δάση & Ξυλεία.
Μεταγράφημα παρουσίασης:

Συστήματα CAD Πανεπιστήμιο Θεσσαλίας Σχολή Θετικών Επιστημών Ροή Πληροφορικής Δαδαλιάρης Αντώνιος: dadaliaris@cs.uth.gr

Placement (1) Κατά την διαδικασία της χωροθέτησης (placement) καλούμαστε να τοποθετήσουμε όλα τα κελιά της σχεδίασης (με τον κατάλληλο τρόπο, βάσει των σχεδιαστικών προδιαγραφών και περιορισμών που έχουμε) εντός των ορίων των flexible blocks της σχεδίασης. Στόχοι (ιδεατοί): Εγγύηση επιτυχημένης δρομολόγησης σε επόμενα στάδια. Ελαχιστοποίηση των καθυστερήσεων σε όλα τα κρίσιμα μονοπάτια. Μέγιστη πυκνότητα. Ελαχιστοποίηση της καταναλισκόμεννης ισχύος. Ελαχιστοποίηση των cross talk φαινομένων.

Placement (2) Στόχοι (πραγματικοί): Ελαχιστοποίηση του συνολικού μήκους καλωδίου διασύνδεσης. Μη παραβίαση των χρονικών περιορισμών στα κρίσιμα μονοπάτια. Αποσυμφόρηση των καλωδίων διασύνδεσης. Προβλήματα: Η ελαχιστοποίηση του μήκους καλωδίου που χρησιμοποιείται για την διασύνδεση των κελιών δεν συνεπάγεται την δημιουργία μιας σχεδίασης στην οποία τα παραπάνω καλώδια μπορούν να δρομολογηθούν με σωστό τρόπο (να ακολουθούν, δηλαδή, τους σχεδιαστικούς κανόνες). Στην προσπάθεια να ελαχιστοποιήσουμε το μήκος καλωδίου διασύνδεσης και να αποσυμφορήσουμε, παράλληλα, τη σχεδίαση μπορεί να οδηγηθούμε στην δημιουργία μονοπατιών μεταξύ κελιών τα οποία παρουσιάζουν πολύ μεγάλες καθυστερήσεις. Trade-offs

Placement (3) Κατά το στάδιο της χωροθέτησης εκτελούνται 4 διακριτά βήματα βελτιστοποιήσεων: Pre-placement optimizations In-placement optimizations Post-placement optimizations (before clock tree synthesis) Post-placement optimizations (after clock tree synthesis) Pre-placement optimizations: Cell sizing In-placement optimizations: Cell sizing, cell moving, congestion-driven placement..... Post-placement optimizations (before clock tree synthesis): Setup / hold time fixing, max trans violations, global routing based optimizations.... Post-placement optimizations (after clock tree synthesis): Timing optimizations....

Placement (4) Placement Algorithms: Constructive Placement Iterative Placement Ξεκινώντας από μια αρχική χωροθέτηση, ακολουθούμε μια επαναληπτική διαδικασία η οποία σε κάθε επανάληψή της βελτιώνει το υπάρχον placement και τερματίζει όταν ικανοποιηθούν κάποια κριτήρια ή δεν υπάρχει „κίνηση“ η οποία δύναται να επιφέρει κέρδος.

Placement (5)

Clock Tree Synthesis (1) Στόχος: Δημιουργία κατάλληλου δικτύου διαμοιρασμού του ρολογιού. Ελάχιστες δυνατές παρατηρούμενες καθυστερήσεις. Αποτέλεσμα: Σχεδίαση με βελτιωμένο συνολικό slack.

Clock Tree Synthesis (2) Η βελτιστοποίηση του δικτύου του ρολογιού μιας σχεδίασης επιτυγχάνεται χρησιμοποιώντας τις ακόλουθες προσεγγίσεις: Buffer sizing Gate sizing Buffer relocation Rule of thumb: Για κάθε 100 χιλιάδες πύλες προστίθενται περίπου 650 buffer cells.

Clock Tree Synthesis (3)

Routing (1) Routing (δrομολόγηση): Η υλοποίηση των συνδέσεων μεταξύ των pins της σχεδίασης. Πρακτικά, ο σχεδιασμός των καλωδίων που υλοποιούν τις παραπάνω συνδέσεις. NP-hard πρόβλημα. Περιορισμοί: Πρέπει να ελαχιστοποιήσουμε το συνολικό μήκος των καλωδίων διασύνδεσης. Πρέπει να ελαχιστοποιήσουμε τον αριθμό παρακάμψεων σε κάθε μονοπάτι της σχεδίασης (τα καλώδια μπορούν να „χαραχθούν“ να μόνο οριζοντίως και καθέτως). Πρέπει το τελικό αποτέλεσμα να μην παραβιάζει τους περιορισμούς της σχεδίασης όσον αφορά τον χρονισμό της. Steiner Tree Το βέλτιστο μονοπάτι δρομολόγησης είναι κατ'ουσίαν ένα Steiner Tree. Η εύρεση του κατάλληλου Steiner Tree είναι NP-complete πρόβλημα.

Routing (2) Τύποι Δρομολόγησης: Maze Routing Channel Routing Switchbox Routing River Routing (Single Layer Routing) Global Routing: Δεν υλοποιούνται συνδέσεις. Υπολογίζονται πιθανές συνδέσεις, βάσει των περιορισμών. Εντοπίζονται και αποθηκεύονται εκείνα τα κανάλια που έχουν επαρκή χωρητικότητα για να δεχτούν τα καλώδια διασύνδεσεις. Detailed Routing / Special Routing: Υλοποίηση των συνδέσεων μεταξύ pins και pads και δημιουργία vias. Clock routing

Routing (3)

Routing (4) Obstructions: Περιοχές στις οποίες μπορούμε να δημιουργήσουμε συνδέσεις μόνο σε συγκεκριμένα επίπεδα μεταλλου. Obstacles: Περιοχές στις οποίες απαγορέυεται η δρομολόγηση συνδέσεων, πρέπει, απαραίτητα, να τις παρακάμψουμε. Channel: Μια ορθογώνια περιοχή με σημεία σύνδεσης σε δύο πλευρές της. Switchbox: Μια περιοχή με σημεία σύνδεσεις και στις τέσσερις πλευρές της.

Routing (5) Lee-Moore (Maze routing) Ο αλγόριθμος εγγυάται την εύρεση του συντομότερου μονοπατιού μεταξύ δύο κόμβων, εάν αυτό υπάρχει. Η έυρεση του συντομότερου μονοπατιού μπορεί να πραγματοποιηθεί μόνο εντός ενός συγκεκριμένου net. The Left Edge Algorithm (Channel routing) Δεν εγγυάται την έυρεση κατάλληλων μονοπατιών. Λαμβάνει υπόψη του τους περισσότερους περιορισμούς σχεδίασης που έχουν τεθεί (ακόμη και σε καθολική κλίμακα). Συνήθως καταλήγει σε καλύτερα αποτελέσματα από τον Lee-Moore αλγόριθμο. Demos: http://workbench.lafayette.edu/~nestorj/cadapplets/

Routing (6) Clock & Power Routing Considerations: Clock Routing: Σύγχρονα και ασύγχρονα κομμάτια σχεδίασης. Οι καθυστερήσεις και το clock skew πρέπει να λαμβάνονται υπόψη σε κάθε σχεδιαστική απόφαση. Power Routing: Ο διαμοιρσμός των πόρων του συστήματος πρέπει να γίνεται σε επίπεδα μετάλλου με την χαμηλότερη δυνατή αντίσταση. POWER(PWR) και GROUND(GND) συνδέσεις πρέπει να δρομολογούνται σε ξεχωριστά nets.