VLSI Design & Testing Center - Democritus University of Thrace 1 9 o εξάμηνο Ηλεκτρονικός Κύκλος Εργαστήριο στα FPGA.

Slides:



Advertisements
Παρόμοιες παρουσιάσεις
Windows Intune : Management through Cloud. Microsoft Commercial Cloud Services 9,000 business customers 40M paid Online seats More than 500 government.
Advertisements

Προβολή SPmC TURBOHALER ΑΣθΜΑ ΧΑΠ Subordinated pages Animation step Structure of the pages is clear No animation Simple animation.
NOT TOO YUPPIE Καβρουδάκης Φραγκίσκος ( Μαραγκός Άγγελος ( B λαβιανός Ηλίας.
Δίκτυα Η/Υ ΙΙ Έλεγχος Συμφόρησης Congestion Control.
Αναλογική- Ψηφιακή Φωτογραφία (Φιλμ-Αισθητήρας)
1 Please include the following information on this slide: Παρακαλώ, συμπεριλάβετε τις παρακάτω πληροφoρίες στη διαφάνεια: Name Balafouti MariaWhich of.
SCHOOL YEAR Ms Kefallinou. Language A: Language and Literature is directed towards developing and understanding the constructed nature of meanings.
1 Basic network tools Layers recap Basic Addressing ping traceroute ipconfig.
ΕΝΟΤΗΤΑ 10Η Η ΓΛΩΣΣΑ VHDL: ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ
IT2000 vs IT2012 By Fotis Lavdas & Menelaos Makrigiannis.
ΠΑΝΕΠΙΣΤΗΜΙΟ ΘΕΣΣΑΛΙΑΣ ΤΜΗΜΑ ΜΗΧΑΝΟΛΟΓΩΝ ΜΗΧΑΝΙΚΩΝ ΒΙΟΜΗΧΑΝΙΑΣ Διάλεξη 11: Χρήση δομών, εξωτερικών αρχείων και γραφικών στο Matlab Εαρινό εξάμηνο 2008.
ΣYMBOΛIKOΣ ΥΠΟΛΟΓΙΣΜΟΣ. ΣYMBOΛIKOΣ ΥΠΟΛΟΓΙΣΜΟΣ - Παράδειγμα %polynomial (Expression, Variable) polynomial (X, X). polynomial (Term, X) :- number (Term).
Business Process Management and Knowledge Toolkit
Βάσεις Δεδομένων Ευαγγελία Πιτουρά 1 Distributed Database Systems.
Hellenic Ministry for the Environment, Spatial Planning and Public Works Greek Experience on the Implementation of IPPC Directive Alexandros Karavanas.
TEMPLATES, STL ΠΡΟΓΡΑΜΜΑΤΑ ΜΕ ΠΟΛΛΑ ΑΡΧΕΙΑ. ΑΝΑΚΕΦΑΛΑΙΩΣΗ.
Θεωρία Γραφημάτων Θεμελιώσεις-Αλγόριθμοι-Εφαρμογές
Τεχνολογία ΛογισμικούSlide 1 Έλεγχος Καταψύκτη (Ada) Τεχνολογία ΛογισμικούSlide 39 with Pump, Temperature_dial, Sensor, Globals, Alarm; use Globals ; procedure.
ICT – based regional development Michalis Vafopoulos University of the Aegean 24th EuroCHRIE Congress Program.
Ασκηση NextGen POS. Ι. Δεληγιάννης, Τμ. Πληροφορικής ΤΕΙ-Θ UNIFIED PROCESS - ΑΝΑΛΥΣΗ2.
Η Σημερινή Εικόνα του Οδηγού Σπουδών Κ. Λαμπρινουδάκης Τμήμα Μηχανικών Πληροφοριακών και Επικοινωνιακών Συστημάτων.
Τι θα φέρει το Σύννεφο στη Διαχείριση Δεδομένων: Προκλήσεις και Ευκαιρίες Ελληνικό Συμπόσιο Διαχείρισης Δεδομένων 2010 Ευαγγελία Πιτουρά Τμήμα Πληροφορικής,
Πληροφοριακά Συστήματα και Βάσεις Δεδομένων
Online Optical Probes for Quality Control and Safety Assessment of Olive and Other Edible Oils G. Stavropoulos Demokritos, November 2013.
A model for Context-aware Databases. 19/04/20052 What is Context? Ο καθένας ορίζει το context διαφορετικά... “location, identities of nearby people and.
Εισαγωγή στην Compute Unified Device Architecture (CUDA)
Ελληνικό γραφείο υποστήριξης: Entwined with the teaching of English.
ΠΕΡΙΒΑΛΛΟΝΤΙΚΗ ΑΝΑΠΤΥΞΙΑΚΗ ΔΥΤΙΚΗΣ ΘΕΣΣΑΛΙΑΣ Α.Ε. ENVIRONMENTAL DEVELOPMENT AGENCY OF WESTERN THESSALY S.A. Best practices of successful local-regional.
1/6/2012 FASTER LOGO FASTER ICT – Financial and Accounting Seminars Targeting European Regions You are expected to prepare a ppt presentation for each.
Visual Studio 2010 Load Testing Γιώργος Καρκαλής Testing Specialist 12/5/2011.
Προγραμματισμός ΙΙ Διάλεξη #6: Απλές Δομές Ελέγχου Δρ. Νικ. Λιόλιος.
Πανεπιστήμιο Κύπρου – Τμήμα Πληροφορικής EPL602 Foundations of Web Technologies jQuery Mobile News Site Presented by: Christodoulos Michael Dimitris Stokkos.
1 Please include the following information on this slide: Παρακαλώ, συμπεριλάβετε τις παρακάτω πληροφoρίες στη διαφάνεια: Name Giannakodimou Aliki Kourkouta.
Σοφία Τζελέπη, App Inventor ΜΕΡΟΣ B’ Σοφία Τζελέπη,
Γλώσσες Περιγραφής Υλικού. Οι γλώσσες περιγραφής υλικού είναι προσανατολισμένες στην περιγραφή της δομής του hardware και/ή της συμπεριφοράς του Χρησιμοποιούνται.
Γλώσσα περιγραφής υλικού VHDL. Βασική δομή VHDL κώδικα Entity Entity (Δήλωση εισόδων – εξόδων του συστήματος) Architecture Architecture structural (περιγραφή.
MARIE CURIE  Project about Project  Πειραματικό Λύκειο Πανεπιστημίου Μακεδονίας  Team 3 Ξενίδης Γιώργος Βαρελτζίδου Μαρίνα Γαβριηλίδου Ελένη.
Lecture 14: GPIO Outputs Lecturers: Professor John Devlin Mr Robert Ross.
ΕΝΟΤΗΤΑ 9Η Η ΓΛΩΣΣΑ VHDL: ΣΥΝΔΥΑΣΤΙΚΑ ΚΥΚΛΩΜΑΤΑ
6 Η ΠΑΡΟΥΣΙΑΣΗ: ΠΑΝΤΕΙΟ ΠΑΝΕΠΙΣΤΗΜΙΟ ΚΟΙΝΩΝΙΚΩΝ ΚΑΙ ΠΟΛΙΤΙΚΩΝ ΕΠΙΣΤΗΜΩΝ ΤΜΗΜΑ: ΕΠΙΚΟΙΝΩΝΙΑΣ, ΜΕΣΩΝ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ ΜΑΘΗΜΑ: ΕΙΣΑΓΩΓΗ ΣΤΗ ΔΙΑΦΗΜΙΣΗ.
9o εξάμηνο Ηλεκτρονικός Κύκλος Εργαστήριο στα FPGA
Προγραμματισμός ΙΙ Διάλεξη #5: Εντολές Ανάθεσης Εντολές Συνθήκης Δρ. Νικ. Λιόλιος.
“ Ἡ ἀ γάπη ἀ νυπόκριτος. ἀ ποστυγο ῦ ντες τ ὸ πονηρόν, κολλώμενοι τ ῷ ἀ γαθ ῷ, τ ῇ φιλαδελφί ᾳ ε ἰ ς ἀ λλήλους φιλόστοργοι, τ ῇ τιμ ῇ ἀ λλήλους προηγούμενοι.
ΗΥ-220 Verilog HDL. Τα βασικά.... ΗΥ-220 – Ιάκωβος Μαυροειδής2 Βασική Ροή Σχεδίασης Requirements SimulateRTL Model Gate-level Model Synthesize SimulateTest.
Η δημοφιλέστερη γλώσσα Hardware
ΗΥ220 - Βασίλης Παπαευσταθίου1 ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων Χειμερινό Εξάμηνο Ροή Σχεδίασης Κυκλωμάτων και Εργαλεία CAD.
6/15/2015HY220: Ιάκωβος Μαυροειδής1 HY220 Static Random Access Memory.
HY220: Ιάκωβος Μαυροειδής
Προσομοίωση Δικτύων 4η Άσκηση Σύνθετες τοπολογίες, διακοπή συνδέσεων, δυναμική δρομολόγηση.
Αντικειμενοστραφής Προγραμματισμός ΙΙ
Αντικειμενοστραφής Προγραμματισμός ΙΙ

ΗΜΥ-210: Λογικός Σχεδιασμός Εαρινό Εξάμηνο 2005
LEX Connector To introduce the new LEX wire-to-board, crimp style connector series for LED applications.
CPSC-608 Database Systems
Policy update by EUROCITIES Florence
Database Programming Using Oracle 11g
Research Methodology Journal Club Presented by Ali A Haghdoost
Overall Session Type, # and Title (i. e
Cipher Feedback Mode Network Security.
Applications/Requirements for Public-key
Baggy Bounds checking by Akritidis, Costa, Castro, and Hand
To Teach Curricular Subjects
Assumption Parish Early Childhood
Chiltern Hills Academy
I have to take the MAP again?
Kanaka Creek School Teams Session January 30, 2018
Management Information System
Complements White Box Testing Finds a different class of errors
Place Title / Heading Here
Μεταγράφημα παρουσίασης:

VLSI Design & Testing Center - Democritus University of Thrace 1 9 o εξάμηνο Ηλεκτρονικός Κύκλος Εργαστήριο στα FPGA

VLSI Design & Testing Center - Democritus University of Thrace 2 FPGA: The chip that flip-flops

VLSI Design & Testing Center - Democritus University of Thrace 3 Βασικές σχεδιαστικές ιδέες  Είναι εφικτός ο σχεδιασμός?  Σχεδιαστικές προδιαγραφές  Κόστος  FPGA/CPLD ή ASIC?  Ποιόν κατασκευαστή FPGA/CPLD?  Ποια οικογένεια FPGA?  Χρόνος κατασκευής

VLSI Design & Testing Center - Democritus University of Thrace 4 Γιατί να χρησιμοποιούμε προγραμματιζόμενες συσκευές;  As compared to hard-wired chips, programmable chips can be customized as per needs of the user by programming  This convenience, coupled with the option of re- programming in case of problems, makes the programmable chips very attractive  Other benefits include instant turnaround, low starting cost and low risk

VLSI Design & Testing Center - Democritus University of Thrace 5  As compared to programmable chips, ASIC (Application Specific Integrated Circuit) has a longer design cycle and costlier ECO (Engineering Change Order)  Still, ASIC has its own market due to the added benefit of faster performance and lower cost if produced in high volume  Programmable chips are good for medium to low volume products. If you need more than 10,000 chips, go for ASIC or hard copy Γιατί να χρησιμοποιούμε προγραμματιζόμενες συσκευές;

VLSI Design & Testing Center - Democritus University of Thrace 6 Εισαγωγή στα FPGA  Βρισκόμαστε στην τρίτη γενιά FPGA  Απαιτείται μικρό χρονικό διάστημα για την υλοποίηση ενός κυκλώματος  Είναι η πιο διαδομένη συσκευή στα επαναπροσδιορίσιμα συστήματα  Κατάλληλο για υπολογισμούς σε επίπεδο bit

VLSI Design & Testing Center - Democritus University of Thrace 7 Δομή ενός FPGA

VLSI Design & Testing Center - Democritus University of Thrace 8 Διαφορετικοί τύποι CLB

VLSI Design & Testing Center - Democritus University of Thrace 9 Δομή του FPGA Διάταξη του FPGA Κουτιά Συνδέσεων

VLSI Design & Testing Center - Democritus University of Thrace 10 Δομικά στοιχεία του FPGA  Functional units  RAM blocks (Xilinx): implement function truth table  Multiplexers (Actel): build Boolean functions using muxes  Logic gates, flip-flops: Such as carry chains. Used for high-performance computations Address lines (input) output

VLSI Design & Testing Center - Democritus University of Thrace 11 Δομικά στοιχεία του FPGA  Used in connecting:  The I/O of functional units to the wires  A horizontal wire to a vertical wire  Two wire segments to form a longer wire segment

VLSI Design & Testing Center - Democritus University of Thrace 12 Κανάλια δρομολόγησης  Note: fixed channel widths (tracks)  Should “predict” all possible connectivity requirements when designing the FPGA chip  Channel -> track -> segment  Segment length?  Long: carry the signal longer, less “concatenation” switches, but might waste track  Short: local connections, slow for longer connections channel track segment

VLSI Design & Testing Center - Democritus University of Thrace 13 Δυνατές Αρχιτεκτονικές του FPGA  Τύπου νησίδας (XC3000 & XC4000)  Βασιζόμενη σε γραμμές (ACT3)  Θάλασσα από πύλες (SX Family)  Ιεραρχική  Μιας διάστασης (Garp, Chimaera)  Τύπου πλέγματος  Μερικώς διασταυρούμενη

VLSI Design & Testing Center - Democritus University of Thrace 14 Αρχιτεκτονική Βασικής Δομικής Μονάδας  Η αρχιτεκτονική είναι κρίσιμη για:  Την κοκκοποίηση του CLB  Την απόδοση  Τη χωρητικότητα  Την κατανάλωση ισχύος  Τα επιθυμητά χαρακτηριστικά του CLB είναι:  Χαμηλή κατανάλωση ισχύος  Μικρή καθυστέρηση  Υψηλή λειτουργικότητα  Μικρή επιφάνεια πυριτίου

VLSI Design & Testing Center - Democritus University of Thrace 15 Πλεονεκτήματα FPGA  Επανα-προγραμματισμός της ίδιας συσκευής  Προσομοίωση του κυκλώματος  Παραγωγή σε σύντομο χρονικό διάστημα  Σχεδιασμός του κυκλώματος σε σχηματικό διάγραμμα και HDL  Χαμηλό κόστος παραγωγής

VLSI Design & Testing Center - Democritus University of Thrace 16 Σχεδιαστικά Βήματα Specifications High-level Description Structural Description Behavioral VHDL, C Structural VHDL

VLSI Design & Testing Center - Democritus University of Thrace 17 Packaging Fabri- cation Physical Design Technology Mapping Synthesis Σχεδιαστικά Βήματα Specifications High-level Description Structural Description Placed & Routed Design X=(AB*CD)+ (A+D)+(A(B+C)) Y = (A(B+C)+AC+ D+A(BC+D)) Gate-level Design Gate-level Design Logic Description

VLSI Design & Testing Center - Democritus University of Thrace 18 Σχεδιαστικά Βήματα Full Custom ASIC – Standard Cell Design Standard Cell Library Design RTL-Level Design Design Methods Cost / Development Time Quality% Companies involved

VLSI Design & Testing Center - Democritus University of Thrace 19 Σχεδιαστικά Βήματα •Algorithmic –Encoding data, computation scheduling, balancing delays of components, etc. •Gate-level –Reduce fan-out, capacitance –Gate duplication, buffer insertion •Layout –Move transistors driven by late inputs closer to the output Effectiveness Level of detail

VLSI Design & Testing Center - Democritus University of Thrace 20 START UP A COMPANY, BECOME MILLIONAIRE AND RETIRE...

VLSI Design & Testing Center - Democritus University of Thrace 21 Σχεδιαστική ροή Detailed Design Detailed Design Ideas Design Ideas Device Programming Device Programming Timing Simulation Timing Simulation Synthesis & Implementation Synthesis & Implementation Functional Simulation Functional Simulation t pd =22.1ns f max =47.1MHz FPGA CPLD

VLSI Design & Testing Center - Democritus University of Thrace 22 Detailed Design •Choose the design entry method –Schematic •Gate level design •Intuitive & easy to debug –HDL (Hardware Description Language), e.g. Verilog & VHDL •Descriptive & portable •Easy to modify –Mixed HDL & schematic • Manage the design hierarchy –Design partitioning •Chip partitioning •Logic partitioning –Use vendor-supplied libraries or parameterized libraries to reduce design time –Create & manage user-created libraries (circuits)

VLSI Design & Testing Center - Democritus University of Thrace 23 Functional Simulation •Preparation for simulation –Generate simulation patterns •Waveform entry •HDL testbench –Generate simulation netlist • Functional simulation –To verify the functionality of your design only • Simulation results –Waveform display –Text output • Challenge –Sufficient & efficient test patterns

VLSI Design & Testing Center - Democritus University of Thrace 24 HDL Synthesis •Synthesis = Translation + Optimization –Translate HDL design files into gate-level netlist –Optimize according to your design constraints •Area constraints •Timing constraints •Power constraints •... • Main challenges –Learn synthesizable coding style –Write correct & synthesizable HDL design files –Specify reasonable design constraints –Use HDL synthesis tool efficiently assign z=a&b a b z

VLSI Design & Testing Center - Democritus University of Thrace 25 Design Implementation •Implementation flow –Netlist merging, flattening, data base building –Design rule checking –Logic optimization –Block mapping & placement –Net routing –Configuration bitstream generation • Implementation results –Design error or warnings –Device utilization –Timing reports • Challenge –How to reach high performance & high utilization implementation? FPGA CPLD a b z

VLSI Design & Testing Center - Democritus University of Thrace 26 Device Programming • Choose the appropriate configuration scheme –SRAM-based FPGA/CPLD devices •Downloading the bitstream via a download cable •Programming onto a non-volatile memory device & attaching it on the circuit board –OTP, EPROM, EEPROM or Flash-based FPGA/CPLD devices •Using hardware programmer •ISP • Finish the board design • Program the device • Challenge –Board design –System considerations FPGA CPLD

VLSI Design & Testing Center - Democritus University of Thrace 27 HDL Design Flow •Why HDL? –Can express digital systems in behavior or structure domain, shortening the design time –Can support all level of abstraction, including algorithm, RTL, gate and switch level –Both VHDL & Verilog are formal hardware description languages, thus portable • Typical HDL design flow –Use VHDL or Verilog to express digital systems •VHDL or Verilog simulation tool is required to simulate your project –Use high-level synthesis tool to obtain structural level design –Then use FPGA placement & routing tools to obtain physical FPGA netlist

VLSI Design & Testing Center - Democritus University of Thrace 28 Τι θα κάνουμε •Περιγραφή κυκλώματος σε VHDL •VHDL functional simulation •Έλεγχος των σημάτων εξόδου •Σύνθεση του κυκλώματος σε FPGA αρχιτεκτονική της Xilinx με το πακέτο Leonardo Spectrum (Exemplar)

VLSI Design & Testing Center - Democritus University of Thrace 29 Περιγραφή σε VHDL library IEEE; use IEEE.STD_LOGIC_1164.all; entity converter is port ( i3, i2, i1, i0: in STD_LOGIC; a, b, c, d, e, f, g: out STD_LOGIC); end converter; architecture case_description of converter is begin P1: process(i3, i2, i1, i0) variable tmp_in: STD_LOGIC_VECTOR(3 downto 0); begin tmp_in := i3 & i2 & i1 & i0; case tmp_in is when "0000" => (a,b,c,d,e,f,g) <= STD_LOGIC_VECTOR'(" "); when "0001" => (a,b,c,d,e,f,g) <= STD_LOGIC_VECTOR'(" "); when "0010" => (a,b,c,d,e,f,g) <= STD_LOGIC_VECTOR'(" "); when "0011" => (a,b,c,d,e,f,g) <= STD_LOGIC_VECTOR'(" "); when "0100" => (a,b,c,d,e,f,g) <= STD_LOGIC_VECTOR'(" "); when "0101" => (a,b,c,d,e,f,g) <= STD_LOGIC_VECTOR'(" "); when "0110" => (a,b,c,d,e,f,g) <= STD_LOGIC_VECTOR'(" "); when "0111" => (a,b,c,d,e,f,g) <= STD_LOGIC_VECTOR'(" "); when "1000" => (a,b,c,d,e,f,g) <= STD_LOGIC_VECTOR'(" "); when "1001" => (a,b,c,d,e,f,g) <= STD_LOGIC_VECTOR'(" "); when "1010" => (a,b,c,d,e,f,g) <= STD_LOGIC_VECTOR'(" "); when "1011" => (a,b,c,d,e,f,g) <= STD_LOGIC_VECTOR'(" "); when "1100" => (a,b,c,d,e,f,g) <= STD_LOGIC_VECTOR'(" "); when "1101" => (a,b,c,d,e,f,g) <= STD_LOGIC_VECTOR'(" "); when "1110" => (a,b,c,d,e,f,g) <= STD_LOGIC_VECTOR'(" "); when "1111" => (a,b,c,d,e,f,g) <= STD_LOGIC_VECTOR'(" "); when others => (a,b,c,d,e,f,g) <= STD_LOGIC_vector'(" "); end case; end process P1; end case_description;

VLSI Design & Testing Center - Democritus University of Thrace 30 Ακολουθίες Ελέγχου VHDL •Ανάθεση διαφόρων τιμών στις εισόδους σε διάφορες χρονικές περιόδους •Έλεγχος αν τα αποτελέσματα είναι σωστά

VLSI Design & Testing Center - Democritus University of Thrace 31 Έλεγχος Κυματομορφών

VLSI Design & Testing Center - Democritus University of Thrace 32 Προγραμματισμός του FPGA module count8(clock, clear, enable, cout); input clock, clear, enable; output [7:0] cout; reg [7:0] cout; clear or posedge clock) begin if (clear == 1) cout = 0; else if (enable == 1) cout = cout + 1; end endmodule...

VLSI Design & Testing Center - Democritus University of Thrace 33 Προγραμματισμός download cable CPLDs FPGA Programmer