6/23/2015HY220: Ιάκωβος Μαυροειδής1 HY220 Registers.

Slides:



Advertisements
Παρόμοιες παρουσιάσεις
Handling Local Variables General Purpose Registers
Advertisements

ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων
Εφαρμογές Ψηφιακών Ηλεκτρονικων
ΕΝΟΤΗΤΑ 7Η ΑΚΟΛΟΥΘΙΑΚΑ ΚΥΚΛΩΜΑΤΑ ΤΗΣ ΤΥΠΙΚΗΣ ΛΟΓΙΚΗΣ
Γλώσσες Περιγραφής Υλικού. Οι γλώσσες περιγραφής υλικού είναι προσανατολισμένες στην περιγραφή της δομής του hardware και/ή της συμπεριφοράς του Χρησιμοποιούνται.
Συγχρονα Ακολουθιακα Κυκλωματα Flip-Flops Καταχωρητες
1. Τα απολύτως απαραίτητα
ΗΥ220 - Βασίλης Παπαευσταθίου1 ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων Χειμερινό Εξάμηνο Χρονισμός Σύγχρονων Κυκλώματων, Καταχωρητές και Μανταλωτές.
V ERILOG 2. Μια πιο κοντινή ματιά. ΗΥ-220 – Μαυροειδής Ιάκωβος2 Δομή της γλώσσας Μοιάζει πολύ με τη C –Preprocessor –Keywords –Τελεστές Γλώσσα «event.
V ERILOG 1. Τα απολύτως απαραίτητα. Verilog - Λυμπέρης Σπύρος2 Verilog - Γιατί; Σχεδίαση επικεντρωμένη στην αρχιτεκτονική Διαδικασία σύνθεσης Εύκολη συντήρηση.
Σχεδίαση hardware και προγραμματισμός βασικές (αλλά σύγχρονες) μεθοδολογίες Βασίλης Παλιουράς.
Συγχρονα Ακολουθιακα Κυκλωματα Flip-Flops Καταχωρητες
Θεωρία Γραφημάτων Θεμελιώσεις-Αλγόριθμοι-Εφαρμογές Ενότητα 8 Τ ΕΛΕΙΑ Γ ΡΑΦΗΜΑΤΑ Σταύρος Δ. Νικολόπουλος 1.
Συνδυαστικά Κυκλώματα (Combinational Circuits)
ΗΥ-220 Εισαγωγή. ΗΥ-220 – Ιάκωβος Μαυροειδής2 Contacts Mailing List –mail majordomo “subscribe hy220-list” Βοηθοί –Βλάχος Βαγγέλης –Μιχελογιαννάκης.
ΗΥ-220 Verilog HDL. Τα βασικά.... ΗΥ-220 – Ιάκωβος Μαυροειδής2 Βασική Ροή Σχεδίασης Requirements SimulateRTL Model Gate-level Model Synthesize SimulateTest.
ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων
Adverbs of frequency Angela Xidias.
ΗΥ220 - Βασίλης Παπαευσταθίου1 ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων Χειμερινό Εξάμηνο Verilog: Τα βασικά.
V ERILOG 2. Μια πιο κοντινή ματιά. ΗΥ Παπαευσταθίου Γιάννης2 Δομή της γλώσσας Μοιάζει πολύ με τη C –Preprocessor –Keywords –Τελεστές Γλώσσα «event.
6/15/2015HY220: Ιάκωβος Μαυροειδής1 HY220 Static Random Access Memory.
ΗΥ Παπαευσταθίου Γιάννης1 Clock generation.
ΗΥ Καλοκαιρινός Γιώργος1 Register module Reg(Q, D, Clk); // parameter N = 16; input Clk; input [N-1:0] D; output [N-1:0] Q; reg [N-1:0] Q; // always.
V ERILOG 3. Coding styles. HY Παπαευσταθίου Γιάννης2 Τα στυλ του κώδικα Και εμάς τι μας νοιάζει; –Διαφορετικός κώδικας για διαφορετικούς σκοπούς.
6/26/2015HY220: Ιάκωβος Μαυροειδής1 HY220 Asynchronous Circuits.
V ERILOG Laboratories. ΗΥ-220 – Μαυροειδής Ιάκωβος2 Verilog Simulator > rlogin [garbis, kirkios, levantes, apraktias, pounentes, apiliotis] > source ~hy220/verilog/scripts/cds_ldv.sh.
HY220: Ιάκωβος Μαυροειδής
7/15/2015HY220: Ιάκωβος Μαυροειδής1 HY220 Basic Modules Buffer FIFO Decoder, Encoder, Priority Encoder CAM.
7/15/2015HY220: Ιάκωβος Μαυροειδής1 HY220 Assignments.
ΧΡΟΝΟΙ ΕΓΚΑΘΙΔΡΥΣΗΣ (SETUP) ΚΑΙ ΚΡΑΤΙΣΗΣ (HOLD) Για τη σωστή λειτουργία των flip/flops πρέπει να ικανοποιούνται οι set-up και hold time απαιτήσεις Set-up.
Σχεδίαση ψηφιακών συστημάτων Ενότητα 4: Finite State Machines Algorithmic State Machine (ASM) Charts, and VHDL code Ιωάννης Βογιατζής Τμήμα Μηχανικών Πληροφορικής.
TΕΙ Κρήτης Τμήμα Μουσικής Τεχνολογιας & Ακουστικής Microphone Sensitivity.
Προσομοίωση Δικτύων 3η Άσκηση Δημιουργία, διαμόρφωση μελέτη σύνθετων τοπολογιών.
Arduino Mini howto Μονάδα Αριστείας ΕΛ/ΛΑΚ ΤΕΙ Αθήνας Ανδριτσάκης Δημήτρης Θερινό Σχολείο «Ανάπτυξη wearable συστήματος βασισμένου σε Arduino για χρήση.
6-1 Ορισμοί Στατικά – δυναμικά στοιχεία: – Δυναμικά – με ρολόι – Στατικά – χωρίς ρολόι Αλλά: στατική αποθήκευση -- δυναμική αποθήκευση: –Στατική αποθήκευση.
Διαχείριση Διαδικτυακής Φήμης! Do the Online Reputation Check! «Ημέρα Ασφαλούς Διαδικτύου 2015» Ε. Κοντοπίδη, ΠΕ19.
Intermodulation distortion - IMD “Αρμονική παραμόρφωση δεν είναι το χειρότερο είδος Παραμόρφωσης που μπορούμε να έχουμε σε συστήματα ήχου...” Ηχητικά Συστήματα.
Μάθημα 8 Session και Cookies. Session Το HTTP πρωτόκολλο είναι stateless. Άρα το HTTP δεν έχει μνήμη. Αυτό σημαίνει ότι εάν έχω μια μεταβλητή που την.
Εισαγωγή στη βελτιστοποίηση (Μέρος 1) Daniel Kirschen.
Αντικειμενοστραφής Προγραμματισμός ΙΙ
Συστήματα CAD Πανεπιστήμιο Θεσσαλίας Σχολή Θετικών Επιστημών

Self-resetting domino
Αν. Καθηγητής Γεώργιος Ευθύμογλου
αναγκαίο κακό ή δώρο εξ’ ουρανού;
φίλτρα IIR (Infinite Impulse Response)
Πανεπιστήμιο Θεσσαλίας

Ακούστηκε από τον ουρανό
SR latch R Q S R Q Q’ Q’ S.
Πανεπιστήμιο Θεσσαλίας
Εκπαιδευτική ρομποτική
ΗΜΥ-210: Σχεδιασμός Ψηφιακών Συστημάτων Χειμερινό Εξάμηνο 2007
ΗΜΥ-210: Λογικός Σχεδιασμός Εαρινό Εξάμηνο 2005
Find: φ σ3 = 400 [lb/ft2] CD test Δσ = 1,000 [lb/ft2] Sand 34˚ 36˚ 38˚
ΗΜΥ-210: Λογικός Σχεδιασμός Εαρινό Εξάμηνο 2005
Εισαγωγή στην Τεχνολογία
ΗΜΥ-210: Λογικός Σχεδιασμός Εαρινό Εξάμηνο 2005
מכטרוניקה אלקטרוניקה ספרתית סתיו תשס"ה 2004/2005
النسبة الذهبية العدد الإلهي
Find: minimum B [ft] γcon=150 [lb/ft3] γT=120 [lb/ft3] Q φ=36˚
Find: ρc [in] from load γT=110 [lb/ft3] γT=100 [lb/ft3]
Find: σ1 [kPa] for CD test at failure
Σύνθεση Κυκλωμάτων με εργαλεία CAD
O επεξεργαστής: Η δίοδος δεδομένων (datapath) και η μονάδα ελέγχου (control) 4 κατηγορίες εντολών: Αριθμητικές-λογικές εντολές (add, sub, slt κλπ) –R Type.
τ [lb/ft2] σ [lb/ft2] Find: c in [lb/ft2] σ1 = 2,000 [lb/ft2]
Single-cyle υλοποίηση:
Max-Flow: Non-terminating example with irrational capatcities
Εθνικό Μουσείο Σύγχρονης Τέχνης Faceforward … into my home!
Μεταγράφημα παρουσίασης:

6/23/2015HY220: Ιάκωβος Μαυροειδής1 HY220 Registers

6/23/2015HY220: Ιάκωβος Μαυροειδής2 Sequential Logic 2 storage mechanisms positive feedback charge-based

6/23/2015HY220: Ιάκωβος Μαυροειδής3 Naming Conventions  In our text:  a latch is level sensitive  a register is edge-triggered  There are many different naming conventions  For instance, many books call edge-triggered elements flip-flops  This leads to confusion however

6/23/2015HY220: Ιάκωβος Μαυροειδής4 Latch versus Register  Latch stores data when clock is low D Clk Q D Q  Register stores data when clock rises Clk D D QQ

6/23/2015HY220: Ιάκωβος Μαυροειδής5 Latches

6/23/2015HY220: Ιάκωβος Μαυροειδής6 Latch-Based Design N latch is transparent when  = 0 P latch is transparent when  = 1 N Latch Logic P Latch 

6/23/2015HY220: Ιάκωβος Μαυροειδής7 Timing Definitions t CLK t D t c2q t hold t su t Q DATA STABLE DATA STABLE Register CLK DQ

6/23/2015HY220: Ιάκωβος Μαυροειδής8 Characterizing Timing Register Latch Clk DQ t C2Q DQ t C2Q t D2Q

6/23/2015HY220: Ιάκωβος Μαυροειδής9 Maximum Clock Frequency t clk-Q + t p,comb + t setup = T

6/23/2015HY220: Ιάκωβος Μαυροειδής10 Mux-Based Positive Latches Positive latch (transparent when CLK= 1) 0 CLK 1D Q module pos_latch (clk, d, q) input clk, d; output q; reg q; or d) if (clk) q <= d; endmodule module pos_latch (clk, d, q) input clk, d; output q; reg q; or d) if (clk) q <= d; endmodule

6/23/2015HY220: Ιάκωβος Μαυροειδής11 Mux-Based Negative Latches1 Negative latch (transparent when CLK= 0) CLK 1 0D Q module neg_latch (clk, d, q) input clk, d; output q; reg q; or d) if (!clk) q <= d; endmodule module neg_latch (clk, d, q) input clk, d; output q; reg q; or d) if (!clk) q <= d; endmodule

6/23/2015HY220: Ιάκωβος Μαυροειδής12 Mux-Based Latch : Transistors NMOS onlyNon-overlapping clocks

6/23/2015HY220: Ιάκωβος Μαυροειδής13 Master-Slave (Edge-Triggered) Register Two opposite latches trigger on edge Also called master-slave latch pair

6/23/2015HY220: Ιάκωβος Μαυροειδής14 Overpowering the Feedback Loop ─ Cross-Coupled Pairs NOR-based set-reset

6/23/2015HY220: Ιάκωβος Μαυροειδής15 PosEdge Flip-Flop Είσοδος Ρολόι (φ) Έξοδος S R Q Q S R Q Q MASTER SLAVE module pos_reg (clk, d, q) input clk, d; output q; reg q; clk) q <= d; endmodule module pos_reg (clk, d, q) input clk, d; output q; reg q; clk) q <= d; endmodule

6/23/2015HY220: Ιάκωβος Μαυροειδής16 Non-Blocking Assignment ab c reg a, b, c; clk) begin b = a; c = b; end reg a, b, c; clk) begin b = a; c = b; end a b c reg a, b, c; clk) begin b <= a; c <= b; end reg a, b, c; clk) begin b <= a; c <= b; end

6/23/2015HY220: Ιάκωβος Μαυροειδής17 Non-Blocking Assignment ab c reg a, b, c; clk) b = a; clk) c = b; reg a, b, c; clk) b = a; clk) c = b; a b c Use non- blocking assignments for registers or ?? reg a, b, c; clk) b <= a; clk) c <= b; reg a, b, c; clk) b <= a; clk) c <= b;

6/23/2015HY220: Ιάκωβος Μαυροειδής18 Positive Feedback: Bi-Stability V o 1 V i 2 5 V o 1 V i 2 5 V o 1 V i1 A C B V o2 V i1 =V o2 V o1 V i2 V i2 =V o1

6/23/2015HY220: Ιάκωβος Μαυροειδής19 Meta-Stability Gain should be larger than 1 in the transition region

6/23/2015HY220: Ιάκωβος Μαυροειδής20 Metastability:Setup or Hold time violation Flip-Flop μπαίνει σε μία κατάσταση όπου το τελικό αποτέλεσμα είναι άγνωστο. Το κύκλωμα συμπεριφέρεται σαν μία αλυσίδα από inverters.

6/23/2015HY220: Ιάκωβος Μαυροειδής21 Pipelining Reference Pipelined

6/23/2015HY220: Ιάκωβος Μαυροειδής22 Example What is wrong ???

6/23/2015HY220: Ιάκωβος Μαυροειδής23 Example (con’t) T AND = 2ns, T OR = 1ns, T MUX = 3ns, T clk2Q = 0.5ns, T setup =0.3ns Find the critical path. Determine the clock cycle.

6/23/2015HY220: Ιάκωβος Μαυροειδής24 Latch-Based Pipeline