HY220: Ιάκωβος Μαυροειδής

Slides:



Advertisements
Παρόμοιες παρουσιάσεις
Handling Local Variables General Purpose Registers
Advertisements

Εφαρμογές Ψηφιακών Ηλεκτρονικων
9o εξάμηνο Ηλεκτρονικός Κύκλος Εργαστήριο στα FPGA
HY 120 "ΨΗΦΙΑΚΗ ΣΧΕΔΙΑΣΗ" Programming Logic Devices (PLDs) (Συσκευες Προγραμματιζομενης Λογικης)
ΕΝΟΤΗΤΑ 12Η ΔΙΑΤΑΞΕΙΣ ΠΡΟΓΡΑΜΜΑΤΙΖΟΜΕΝΗΣ ΛΟΓΙΚΗΣ: CPLDs ΚΑΙ FPGAs
Ενσωματωμένα Συστήματα
ΗΥ220 - Βασίλης Παπαευσταθίου1 ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων Χειμερινό Εξάμηνο SoC: System on Chip.
ΗΥ-220 Verilog HDL. Τα βασικά.... ΗΥ-220 – Ιάκωβος Μαυροειδής2 Βασική Ροή Σχεδίασης Requirements SimulateRTL Model Gate-level Model Synthesize SimulateTest.
ΗΥ220 - Βασίλης Παπαευσταθίου1 ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων Χειμερινό Εξάμηνο Verilog: Τα βασικά.
ΗΥ220 - Βασίλης Παπαευσταθίου1 ΗΥ220 Εργαστήριο Ψηφιακών Κυκλωμάτων Χειμερινό Εξάμηνο Ροή Σχεδίασης Κυκλωμάτων και Εργαλεία CAD.
6/15/2015HY220: Ιάκωβος Μαυροειδής1 HY220 Static Random Access Memory.
ΗΥ Παπαευσταθίου Γιάννης1 Clock generation.
ΗΥ Καλοκαιρινός Γιώργος1 PCI Bus Pin List. ΗΥ Καλοκαιρινός Γιώργος2 Initiator Target.
6/23/2015HY220: Ιάκωβος Μαυροειδής1 HY220 Registers.
ΗΥ Καλοκαιρινός Γιώργος1 Bus. ΗΥ Καλοκαιρινός Γιώργος2 MCS51.
6/25/2015HY220: Ιάκωβος Μαυροειδής1 Computer Aided Design CAD tools Functional DesignSpecificationsLogic DesignCircuit DesignPhysical DesignFabrication.
6/26/2015HY220: Ιάκωβος Μαυροειδής1 HY220 Asynchronous Circuits.
ΟΡΓΑΝΙΣΜΟΣ ΒΙΟΜΗΧΑΝΙΚΗΣ ΙΔΙΟΚΤΗΣΙΑΣ “Preparing Europe for Global Competition” THE NETWORK : The Patent and Trademark Offices.
7/15/2015HY220: Ιάκωβος Μαυροειδής1 HY220 Basic Modules Buffer FIFO Decoder, Encoder, Priority Encoder CAM.
Κεφάλαιο 9 – Διαδίκτυο. Internet 1.Δημιουργήστε ένα infographic ( με τους σταθμούς εξέλιξης του internet. 2.
Week 11 Quiz Sentence #2. The sentence. λαλο ῦ μεν ε ἰ δότες ὅ τι ὁ ἐ γείρας τ ὸ ν κύριον Ἰ ησο ῦ ν κα ὶ ἡ μ ᾶ ς σ ὺ ν Ἰ ησο ῦ ἐ γερε ῖ κα ὶ παραστήσει.
ΜΕΤΑΣΧΗΜΑΤΙΣΤΕΣ TRANSFORMERS Reference : ΤΕΙ Κρήτης - Ηλεκτρικές Μηχανές Συλλιγνάκης.
Τελική εργασία του μαθήματος “Σχεδιασμός Δημιουργικού & Διαφημιστικών Μηνυμάτων” Ιανουάριος 2054 Ομάδα Χ Ονοματεπώνυμο 1 Ονοματεπώνυμο 2 Ονοματεπώνυμο.
Προσομοίωση Δικτύων 4η Άσκηση Σύνθετες τοπολογίες, διακοπή συνδέσεων, δυναμική δρομολόγηση.
ΠΑΝΕΠΙΣΤΗΜΙΟ ΙΩΑΝΝΙΝΩΝ ΑΝΟΙΚΤΑ ΑΚΑΔΗΜΑΪΚΑ ΜΑΘΗΜΑΤΑ Εκπαιδευτικά Προγράμματα με Χρήση Η/Υ Ι ΘΕΩΡΙΕΣ ΜΑΘΗΣΗΣ ΚΑΙ ΝΕΕΣ ΤΕΧΝΟΛΟΓΙΕΣ (Learning Theories and.
Αριθμητική Επίλυση Διαφορικών Εξισώσεων 1. Συνήθης Δ.Ε. 1 ανεξάρτητη μεταβλητή x 1 εξαρτημένη μεταβλητή y Καθώς και παράγωγοι της y μέχρι n τάξης, στη.
ΔΕΥΤΕΡΟ ΣΕΜΙΝΑΡΙΟ ΕΠΙΜΟΡΦΩΤΩΝ ΑΘΗΝΑ, ΣΕΠΤΕΜΒΡΙΟΣ 2011 Ο.ΕΠ.ΕΚ Αρχική Συνεδρία Γ. Τύπας, Σύμβουλος Παιδαγωγικού Ινστιτούτου και μέλος του Δ.Σ. του Ινστιτούτου.
Διαχείριση Διαδικτυακής Φήμης! Do the Online Reputation Check! «Ημέρα Ασφαλούς Διαδικτύου 2015» Ε. Κοντοπίδη, ΠΕ19.
Introduction to Latent Variable Models. A comparison of models X1X1 X2X2 X3X3 Y1Y1 δ1δ1 δ2δ2 δ3δ3 Model AModel B ξ1ξ1 X1X1 X2X2 X3X3 δ1δ1 δ2δ2 δ3δ3.
OFDM system characteristics. Effect of wireless channel Intersymbol interference in single carrier systems due to multipath propagation with channel delay.
Guide to Business Planning The Value Chain © Guide to Business Planning A principal use of value chain analysis is to identify a strategy mismatch between.
Chapter 16 Control Unit Implemntation. A Basic Computer Model.
Μαθαίνω με “υπότιτλους”
Διασύνδεση LAN Γιατί όχι μόνο ένα μεγάλο LAN
Αντισταθμιστική ανάλυση
Διευθύνσεις και Πρωτόκολλα στο διαδίκτυο
Ερωτήσεις –απαντήσεις Ομάδων Εργασίας
Αντικειμενοστραφής Προγραμματισμός ΙΙ
Φάσμα παιδαγωγικής ανάπτυξης
Τμήμα Εφαρμοσμένης Πληροφορικής και Πολυμέσων Εργαστήριο Ρομποτικής
Matrix Analytic Techniques

Σχεδίαση Μεικτών VLSI Κυκλωμάτων
Στο μάθημα συζητήσαμε για το spatial frequency tuning των κυττάρων της V1, που σημαίνει ότι τέτοια κύτταρα έχουν μέγιστη απόκριση για τον προτεινόμενο.
ΠΑΝΕΠΙΣΤΗΜΙΟ ΙΩΑΝΝΙΝΩΝ ΑΝΟΙΚΤΑ ΑΚΑΔΗΜΑΪΚΑ ΜΑΘΗΜΑΤΑ
Υδρόβια Φυτά Θεοφανώ Κούλεντρου Rippling Water (Basic)
αναγκαίο κακό ή δώρο εξ’ ουρανού;
ΠΑΝΕΠΙΣΤΗΜΙΟ ΙΩΑΝΝΙΝΩΝ ΑΝΟΙΚΤΑ ΑΚΑΔΗΜΑΪΚΑ ΜΑΘΗΜΑΤΑ
ΤΜΗΜΑ ΔΙΟΙΚΗΣΗΣ ΕΠΙΧΕΙΡΗΣΕΩΝ
Programmable Logic Technologies
Σχεδίαση CMOS Ψηφιακών Ολοκληρωμένων Κυκλωμάτων
Μουσενίκας Δημήτριος Βλάχος Χριστόδουλος
Οσμές στη Σχεδίαση του Λογισμικού
Μία πρακτική εισαγωγή στην χρήση του R
Ανάλυση Γεωργικού Οικογενειακού Εισήματος (ΓΟΕ)
Πανεπιστήμιο Θεσσαλίας
Εκπαιδευτική ρομποτική
ΥΠΟΥΡΓΕΙΟ ΠΑΙΔΕΙΑΣ ΚΑΙ ΠΟΛΙΤΙΣΜΟΥ
MPLS end to end υπηρεσίες
Σχεδίαση Ολοκληρωμένων Κυκλωμάτων
ΗΜΥ-210: Λογικός Σχεδιασμός Εαρινό Εξάμηνο 2005
ΗΜΥ-210: Λογικός Σχεδιασμός Εαρινό Εξάμηνο 2005
ΗΜΥ-210: Λογικός Σχεδιασμός Εαρινό Εξάμηνο 2005
Εισαγωγή στην Τεχνολογία
Τεχνικές της Μοριακής Βιολογίας
aka Mathematical Models and Applications
GLY 326 Structural Geology
Find: ρc [in] from load (4 layers)
CPSC-608 Database Systems
Μεταγράφημα παρουσίασης:

HY220: Ιάκωβος Μαυροειδής System Design Flow 4/17/2017 HY220: Ιάκωβος Μαυροειδής

Transistor : Δομική μονάδα κυκλώματος (chip) 4/17/2017 HY220: Ιάκωβος Μαυροειδής

HY220: Ιάκωβος Μαυροειδής Παράδειγμα: Inverter 4/17/2017 HY220: Ιάκωβος Μαυροειδής

HY220: Ιάκωβος Μαυροειδής Chip = γράφος transistors - Τεχνολογία 4:1 mux 10 πύλες Intel processor 10 000 000 πύλες Η τεχνολογία (process) που χρησιμοποιούμε για την κατασκευή (fabrication) καθορίζει τις παραμέτρους των transistors και το μεγεθός του. Καθώς βελτιώνεται η τεχνολογία μικραίνει το μέγεθος του transistor (περισσότερα transistors στον ίδιο χώρο) αυξάνεται η ταχύτητα του ελαττώνεται η κατανάλωση ενέργειάς του. 4/17/2017 HY220: Ιάκωβος Μαυροειδής

System design flow Hardware Implementation (next slides) System specification (functionality, timing) C’ description (Golden Model) Block partitioning HDL code (verilog) Full Custom transistor level (Memories) Synthesis (Standard Cells) Hardware Implementation (next slides) Floorplanning Place and Route System Testing (functionality, timing) Chip Prototyping 4/17/2017 HY220: Ιάκωβος Μαυροειδής

Hardware Design Methods 4/17/2017 HY220: Ιάκωβος Μαυροειδής

HY220: Ιάκωβος Μαυροειδής 1. Full-Custom The transistor-layout is fully handmade, using a VLSI editor. Only useful for small designs due to the large expenditure. Maximal freedom High performance blocks Slow 4/17/2017 HY220: Ιάκωβος Μαυροειδής

2. Array-Based (Gate-Array) Large arrays of transistors are provided by the ASIC vendor. Connecting these transistors in a specific way results in the desired logic. 4/17/2017 HY220: Ιάκωβος Μαυροειδής

Programmable Logic Array (PLA) configurable connection x0x2x3x4x5 x0x1x2x3x4x5 x0x2x4x5 x0x1x2x5 x0x4x5 x1x2x3x4 configurable connection x0 x1 x2 x3 x4 x5 z0 z1 z2 z3 = x0x1x2x3x4x5 + x0x1x2x5 PLAs have configurable “AND-plane” & “OR-plane”. Can implement any 2-level AND-OR circuit. Efficient physical implementation in CMOS. 4/17/2017 HY220: Ιάκωβος Μαυροειδής

Programmable Logic: LUT A mux selects which element of memory to send to output Really just a 1-bit memory 4/17/2017 HY220: Ιάκωβος Μαυροειδής

FPGA: Field Programmable Gate Array switch matrix wire segments configurable logic blocks (CLB) IO blocks (IOB) CLBs can be connected to “passing” wires. Wire segments connected by switch matrix. Long wire segments used to connect distant CLBs. Configuration information stored in SRAM bits that are loaded when power turns on. 4/17/2017 HY220: Ιάκωβος Μαυροειδής

HY220: Ιάκωβος Μαυροειδής FPGA - Routing CLB 1 CLB 00 1 CLB CLB 4/17/2017 HY220: Ιάκωβος Μαυροειδής

HY220: Ιάκωβος Μαυροειδής What’s in a CLB (LE)? Carry out Programmable Logic Fixed Logic MUX Out Inputs LUT Clk 1 Enable Carry in LE example 4/17/2017 HY220: Ιάκωβος Μαυροειδής

HY220: Ιάκωβος Μαυροειδής Programming FPGA Lookup table implements logic functions. f(A,B,C) 1 0 1 2 3 4 5 6 7 A B C 0 1 2 3 1 1 configuration memory Multiplexors and pass transistors implement routing. Switch matrix contains configurable clusters of pass transistors. provides wide variety of routing options 4/17/2017 HY220: Ιάκωβος Μαυροειδής

Example: Xilinx FPGA - Wires Types of Interconnect 4/17/2017 HY220: Ιάκωβος Μαυροειδής

Example: Xilinx Configurable Logic Block Clock Edge Select Main Function Generators S/R C D > EC CLR PRE LUT4 LUT3 1 YQ XQ Y X CLK G1 G2 G3 G4 F1 F2 F3 F4 H1 DIN S/R Set/Reset Control Clock Enable Control Flip Flop 4/17/2017 HY220: Ιάκωβος Μαυροειδής

HY220: Ιάκωβος Μαυροειδής Example: Xilinx FPGA Note: CAD tools do PR, not designers Direct connections Internal 3-state Bus Long lines and Global lines Buffered Hex lines (1/6 blocks) Single-length lines 4/17/2017 HY220: Ιάκωβος Μαυροειδής

HY220: Ιάκωβος Μαυροειδής Block RAM (Extra RAM not using LUTs) Port A Spartan-IIE Block RAM Port B Most efficient memory implementation Dedicated blocks of memory Ideal for most memory requirements Use multiple blocks for larger memories Builds both single and true dual-port RAMs CAD tool provides custom-sized block RAMs Quickly generates optimized RAM implementation 4/17/2017 HY220: Ιάκωβος Μαυροειδής

HY220: Ιάκωβος Μαυροειδής Example: Virtex-II Pro (Xilinx) BRAM 4/17/2017 HY220: Ιάκωβος Μαυροειδής

FPGA Modern Design Methodology always mumble blah Synthesis gates, gates, gates, … Technology Mapping Synthesizable Verilog Place and Route LE 1 LE 2 Logic Elements in FPGA Chip 4/17/2017 HY220: Ιάκωβος Μαυροειδής

What Do We Mean by “Synthesis”? Logic synthesis A program that “designs” logic from abstract descriptions of the logic takes constraints (e.g. size, speed) uses a library (e.g. 3-input gates) How? You write an “abstract” Verilog description of the logic The synthesis tool provides alternative implementations constraints Verilog blah blah blah synthesis or … library 4/17/2017 HY220: Ιάκωβος Μαυροειδής

HY220: Ιάκωβος Μαυροειδής An Example What’s cool? You type the left, synthesis gives you the gates It used a different library than you did. (2-input gates only) One description suffices for a variety of alternate implementations! ... but this assumes you know a gate level implementation — that’s not an “abstract” Verilog description. module gate (f, a, b, c); output f; input a, b, c; and A (a1, a, b, c), B (a2, a, ~b, ~c), C (a3, ~a, o1); or D (o1, b, c), E (f, a1, a2, a3); endmodule a b c f 4/17/2017 HY220: Ιάκωβος Μαυροειδής

Automatic Logic Synthesis Verilog synthesis may frequently interpret code differently from Verilog simulation Unneeded Logic May Not Be Detected Both circuits are equivalent 4/17/2017 HY220: Ιάκωβος Μαυροειδής

Synopsys (Synthesis and Libraries) Synopsys tool can synthesize hardware for the components in a wide variety of libraries, as well as for complex programmable logic devices (CPLDs) and field-programmable gate arrays (FPGAs) Synopsys uses a basic library with simple gates and blocks. The manufacturer adds components (standard cells) to the library. 4/17/2017 HY220: Ιάκωβος Μαυροειδής

HY220: Ιάκωβος Μαυροειδής Mapping and Routing Mapping – Map logic produced by synthesis to logic elements, transforming the logic as needed Place & Route – Place logic in a particular combinational Logic Block on an FPGA, such that the wiring delay between the block and others is acceptable Must place critical circuit portions together to minimize wiring delays Propagation delay of signals depends significantly on routing delay 4/17/2017 HY220: Ιάκωβος Μαυροειδής

Partitioning-Floorplanning Chip (abstract level) Partitioning into blocks MC I$ DB PP Place them in floorplanned area 4/17/2017 HY220: Ιάκωβος Μαυροειδής

3. Cell-based Implementation Flow always mumble blah Synthesizable Verilog Synthesis standard- cells Place and Route layout of cells gates, gates, gates, … 4/17/2017 HY220: Ιάκωβος Μαυροειδής

Cell-based Design (or standard cells) Semi-custom: tool-based approach, where all cells corresponding to the same type use the same layout. The height of each standard cell is fixed. 4/17/2017 HY220: Ιάκωβος Μαυροειδής

Layout of Standard Cell The layout of a standard cell from a standard-cell library. 4/17/2017 HY220: Ιάκωβος Μαυροειδής

Application Specific Integrated Circuit A chip specific for a single application. Can not be reused (reprogrammed) for other purposes. Fabricate Provide the layout with custom or semi-custom blocks to the manufacturer. 4/17/2017 HY220: Ιάκωβος Μαυροειδής

NRE and unit cost metrics 4/17/2017 HY220: Ιάκωβος Μαυροειδής

HY220: Ιάκωβος Μαυροειδής ASIC VS FPGA FPGA (gate-array) Low startup cost Low financial risk Quick Manufacturing turnaround (reprogram) Easy Design Changes Can be reprogrammed Slow Clock Small on chip capacitance ASIC (full-custom+semi-custom) High cost Slow Manufacturing turnaround (~1 month) Long manufacturing time Compact design Fast clock 4/17/2017 HY220: Ιάκωβος Μαυροειδής