Σχεδίαση μονάδας ελέγχου επεξεργαστή Αρχιτεκτονική Υπολογιστών 5ο εξάμηνο ΣΗΜΜΥ ακ. έτος: 2009-2010 Νεκτάριος Κοζύρης

Slides:



Advertisements
Παρόμοιες παρουσιάσεις
Βάσεις Δεδομένων Ευαγγελία Πιτουρά 1 Ευρετήρια.
Advertisements

Γραφήματα & Επίπεδα Γραφήματα
5 Οργάνωση υπολογιστών Εισαγωγή στην Επιστήμη των Υπολογιστών ã Εκδόσεις Κλειδάριθμος.
Εισαγωγή στις Τεχνολογίες της Πληροφορικής και των Επικοινωνιών
1 Α. Βαφειάδης Αναβάθμισης Προγράμματος Σπουδών Τμήματος Πληροφορικής Τ.Ε.Ι Θεσσαλονίκης Μάθημα Προηγμένες Αρχιτεκτονικές Υπολογιστών Κεφαλαίο Δεύτερο.
Pipeline: Ένα παράδειγμα από ….τη καθημερινή ζωή
-Στοίβα-Ουρά - Πλεονεκτήματα πινάκων -Δομές δεδομένων δευτερεύουσας μνήμης -Πληροφορική και δεδομένα -Παραδείγματα-Προβλήματα ψευδοκώδικα.
Το υλικό του Υπολογιστή
Εντολές PIC16F887 Εντολή αφαίρεσης: Η αφαίρεση στο μικροελεγκτή γίνεται με τη βοήθεια του συμπληρώματος ως προς 2 του αφαιρέτη και αναγάγεται σε πρόσθεση.
Τα στοιχειώδη περί γεωδαιτικών υπολογισμών
Πρόγραμμα Αναβάθμισης Προγράμματος Σπουδών Τμήματος Πληροφορικής Τ. Ε
ΘΕΩΡΙΑ ΔΙΑΛΕΞΗ 4 Αριθμητικές εκφράσεις και πράξεις Εντολές ανάθεσης
Εκτέλεση Αλγορίθμων σε ψευδογλώσσα
ΕΣ 08: Επεξεργαστές Ψηφιακών Σημάτων © 2006 Nicolas Tsapatsoulis Η Αρχιτεκτονική των Επεξεργαστών Ψ.Ε.Σ Τμήμα Επιστήμη και Τεχνολογίας Τηλεπικοινωνιών.
O επεξεργαστής: Η δίοδος δεδομένων (datapath) και η μονάδα ελέγχου (control) Σχεδίαση datapath 4 κατηγορίες εντολών: Αριθμητικές-λογικές.
Προγραμματισμός PASCAL Πληροφορική Γ' Λυκείου μέρος γ
Ανίχνευση κίνδυνου Επίλυση με προώθηση. Μπλέ: Εξαρτήσεις. Εξαρτήσεις προς προηγούμενα CC είναι κίνδυνοι δεδομένων Kόκκινο: Προώθηση IF/ID ID/EX EX/MEM.
Λύση: Multicycle υλοποίηση Single-cyle υλοποίηση: Διάρκεια κύκλου ίση με τη μεγαλύτερη εντολή-worst case delay (εδώ η lw) = χαμηλή.
Κώστας Διαμαντάρας Τμήμα Πληροφορικής ΤΕΙ Θεσσαλονίκης 2011 Συστολικοί επεξεργαστές.
© GfK 2012 | Title of presentation | DD. Month
-17 Προσδοκίες οικονομικής ανάπτυξης στην Ευρώπη Σεπτέμβριος 2013 Δείκτης > +20 Δείκτης 0 a +20 Δείκτης 0 a -20 Δείκτης < -20 Σύνολο στην Ευρωπαϊκή Ένωση:
+21 Προσδοκίες οικονομικής ανάπτυξης στην Ευρώπη Δεκέμβριος 2013 Δείκτης > +20 Δείκτης 0 να +20 Δείκτης 0 να -20 Δείκτης < -20 Σύνολο στην Ευρωπαϊκή Ένωση:
Νεκτάριος Κοζύρης Άρης Σωτηρόπουλος Νίκος Αναστόπουλος
© Pipeline: Ένα παράδειγμα από ….τη καθημερινή ζωή 1.Πλυντήριο 2.Στεγνωτήριο 3.Δίπλωμα 4.αποθήκευση 30 min κάθε «φάση» Σειριακή προσέγγιση.
Κεφάλαιο 2ο Πεπερασμένα αυτόματα.
William Stallings Computer Organization and Architecture 7 th Edition Κεφάλαιο 16 Λειτουργία Μονάδας Ελέγχου.
1 Pipeline: Ένα παράδειγμα από ….τη καθημερινή ζωή 1.Πλυντήριο 2.Στεγνωτήριο 3.Δίπλωμα 4.αποθήκευση 30 min κάθε «φάση» Σειριακή προσέγγιση για 4 φορτία.
Βάσεις Δεδομένων Ευαγγελία Πιτουρά 1 Συναρτησιακές Εξαρτήσεις.
ΙΣΟΛΟΓΙΣΜΟΣ ΒΑΣΕΙ Δ.Λ.Π. (ΕΝΑΡΞΗΣ)
Αποκεντρωμένη Διοίκηση Μακεδονίας Θράκης ∆ιαχείριση έργων επίβλεψης µε σύγχρονα µέσα και επικοινωνία C2G, B2G, G2G Γενική Δ/νση Εσωτερικής Λειτουργίας.
Βάσεις Δεδομένων II Διαχείριση Δοσοληψιών Πάνος Βασιλειάδης Σεπτέμβρης 2002
1 Τοπικές βλάβες από δήγματα όφεων Κουτσουμπού Γεωργία Ειδικευόμενη Γενικής Ιατρικής ΓΚΑ Αθήνα, 18 η Ιουλίου 2002.
1 Α. Βαφειάδης Αναβάθμισης Προγράμματος Σπουδών Τμήματος Πληροφορικής Τ.Ε.Ι Θεσσαλονίκης Μάθημα Προηγμένες Αρχιτεκτονικές Υπολογιστών Κεφαλαίο Τρίτο Συστήματα.
ΑΡΧΙΤΕΚΤΟΝΙΚΗ & ΟΡΓΑΝΩΣΗ ΥΠΟΛΟΓΙΣΤΩΝ Κεφάλαιο 1 Εισαγωγή
Δομές Δεδομένων 1 Στοίβα. Δομές Δεδομένων 2 Στοίβα (stack)  Δομή τύπου LIFO: Last In - First Out (τελευταία εισαγωγή – πρώτη εξαγωγή)  Περιορισμένος.
ΚMΕΚMΕ Κυριότεροι Καταχωρητές της ΚΜΕ του υπολογιστή TRN KME APC I IRX.
Οργάνωση και Αρχιτεκτονική Υπολογιστών Βασικές αρχές Αρχιτεκτονικής
1 Οργάνωση και Αρχιτεκτονική Υπολογιστών Πρόγραμμα Αναβάθμισης Προγράμματος Σπουδών Τμήματος Πληροφορικής Τ.Ε.Ι Θεσσαλονίκης Μάθημα Οργάνωση και Αρχιτεκτονική.
Ο ΗΛΕΚΤΡΟΝΙΚΟΣ ΥΠΟΛΟΓΙΣΤΗΣ
Συνδυαστικά Κυκλώματα
Προγραμματισμός ΙΙ Διάλεξη #5: Εντολές Ανάθεσης Εντολές Συνθήκης Δρ. Νικ. Λιόλιος.
© Θέματα Φεβρουαρίου © Θέμα 1ο (30%): Έστω η παρακάτω ακολουθία εντολών που χρησιμοποιείται για την αντιγραφή.
Βαρόμετρο ΕΒΕΘ - Καταναλωτές Μάρτιος “Η καθιέρωση ενός αξιόπιστου εργαλείου καταγραφής του οικονομικού, επιχειρηματικού και κοινωνικού γίγνεσθαι.
© Ασκήσεις στα Προηγμένα Θέματα Αρχιτεκτονικής Υπολογιστών ακ. έτος Νεκτάριος Κοζύρης Νίκος Αναστόπουλος
Προχωρημένα Θέματα Τεχνολογίας και Εφαρμογών Βάσεων Δεδομένων Διαχείριση Συναλλαγών Πάνος Βασιλειάδης Μάρτιος 2014
ΜΑΘΗΜΑ ΝΟΣΗΛΕΥΤΙΚΗ ΜΕΤΑΓΓΙΣΗ ΑΙΜΑΤΟΣ - ΑΙΜΟΔΟΣΙΑ
1 Α. Βαφειάδης Αναβάθμισης Προγράμματος Σπουδών Τμήματος Πληροφορικής Τ.Ε.Ι Θεσσαλονίκης Μάθημα Προηγμένες Αρχιτεκτονικές Υπολογιστών Κεφαλαίο Τρίτο Συστήματα.
+19 Δεκέμβριος 2014 Δείκτης > +20 Δείκτης 0 έως +20 Δείκτης 0 έως -20 Δείκτης < -20 Συνολικά της ΕΕ: +5 Δείκτης > +20 Δείκτης 0 έως +20 Δείκτης 0 έως -20.
1 Αναβάθμισης Προγράμματος Σπουδών Τμήματος Πληροφορικής Τ.Ε.Ι Θεσσαλονίκης Μάθημα Προηγμένες Αρχιτεκτονικές Υπολογιστών Κεφαλαίο Τέταρτο Οι κίνδυνοι της.
ΠΑΡΑΔΕΙΓΜΑ: ΤΑ ΕΠΙΠΕΔΑ ΥΛΙΚΟΥ – ΛΟΓΙΣΜΙΚΟΥ ΣΕ ΕΝΑΝ ΥΠΟΛΟΓΙΣΤΗ.
Τ.Ε.Ι. Κρήτης Τμ. Μηχανικών Πληροφορικής Αρχιτεκτονική Υπολογιστών 10 ο Μάθημα.
Γιώργος Μαγουλάκης Εξάμηνο: Εαρινό 2015 ΤΕΙ Κρήτης Τμ. Μηχανικών Πληροφορικής Τμ. Μηχανικών Πληροφορικής.
Αρχιτεκτονική Υπολογιστών DATAPATH & CONTROL. Αρχιτεκτονική Υπολογιστών DATAPATH & CONTROL Για κάθε εντολή υπάρχουν δυο βήματα που πρέπει να γίνουν: –Προσκόμιση.
Morgan Kaufmann Publishers Ο επεξεργαστής
O επεξεργαστής: Η δίοδος δεδομένων (datapath) και η μονάδα ελέγχου (control) 4 κατηγορίες εντολών: Αριθμητικές-λογικές εντολές (add, sub, slt κλπ) –R Type.
Single-cyle υλοποίηση:
MIPS: Σύνολο εντολών, γλώσσα μηχανής & μεθοδολογία σχεδίασης
Pipeline: Ένα παράδειγμα από ….τη καθημερινή ζωή
O επεξεργαστής: Η δίοδος δεδομένων (datapath) και η μονάδα ελέγχου (control) 4 κατηγορίες εντολών: Αριθμητικές-λογικές εντολές (add, sub, slt κλπ) –R Type.
Θέματα Φεβρουαρίου
Νεκτάριος Κοζύρης Άρης Σωτηρόπουλος Νίκος Αναστόπουλος
Single-cyle υλοποίηση:
Ο ΜΙΚΡΟΕΠΕΞΕΡΓΑΣΤΗΣ MIPS R10000
Άσκηση Pipeline 1 Δεδομένα Έχουμε ένα loop... Rep: lw $2,100($3)
Single-cyle υλοποίηση:
O επεξεργαστής: Η δίοδος δεδομένων (datapath) και η μονάδα ελέγχου (control) 4 κατηγορίες εντολών: Αριθμητικές-λογικές εντολές (add, sub, slt κλπ) –R Type.
Single-cyle υλοποίηση:
O επεξεργαστής: Η δίοδος δεδομένων (datapath) και η μονάδα ελέγχου (control) 4 κατηγορίες εντολών: Αριθμητικές-λογικές εντολές (add, sub, slt κλπ) –R Type.
O επεξεργαστής: Η δίοδος δεδομένων (datapath) και η μονάδα ελέγχου (control) 4 κατηγορίες εντολών: Αριθμητικές-λογικές εντολές (add, sub, slt κλπ) –R Type.
Μεταγράφημα παρουσίασης:

Σχεδίαση μονάδας ελέγχου επεξεργαστή Αρχιτεκτονική Υπολογιστών 5ο εξάμηνο ΣΗΜΜΥ ακ. έτος: Νεκτάριος Κοζύρης

2 Datapath ενός κύκλου με τη μονάδα ελέγχου

3 Έλεγχος ALU

4  Είσοδοι: ALUOp, πεδίο κωδικού συνάρτησης (funct)‏  Έξοδος: τέσσερα bit ελέγχου της ALU  Χρήση αδιάφορων όρων (don’t care terms): X στον πίνακα αληθείας  Από τον πίνακα αληθείας η συνάρτηση ελέγχου μπορεί να βελτιστοποιηθεί και να μετατραπεί σε λογικές πύλες μηχανικά Πίνακας αληθείας για τα τέσσερα bit ελέγχου της ALU

5 Τα σήματα ελέγχου ενός bit και το αποτέλεσμά τους

6 Τα σήματα ελέγχου ενός bit και το αποτέλεσμά τους (2) ‏

7 Σήματα ελέγχου συναρτήσει του πεδίου opcode της εντολής Από τους προηγούμενους πίνακες προκύπτουν οι τιμές που πρέπει να παίρνουν τα σήματα ελέγχου για κάθε κωδικό λειτουργίας (πεδίο opcode της εντολής)‏

8 Λειτουργία datapath για εντολή τύπου R add $t1,$t2,$t3 1. Η εντολή προσκομίζεται και ο PC αυξάνεται 2. Οι καταχωρητές $t2 και $t3 διαβάζονται από το αρχείο καταχωρητών και η κύρια μονάδα ελέγχου υπολογίζει τις τιμές των γραμμών ελέγχου

9 Λειτουργία datapath για εντολή τύπου R (2) ‏ add $t1,$t2,$t3 3. Η ALU επενεργεί στα δεδομένα χρησιμοποιώντας το πεδίο funct 4. Το αποτέλεσμα από την ALU γράφεται στο αρχείο καταχωρητών επιλέγοντας τον $t1 μέσω των bit 15:11 της εντολής

10 Λειτουργία datapath για εντολή load 1. Η εντολή προσκομίζεται και ο PC αυξάνεται 2. Ο καταχωρητής $t2 διαβάζεται από το αρχείο καταχωρητών και η κύρια μονάδα ελέγχου υπολογίζει τις τιμές των γραμμών ελέγχου 3. Η ALU υπολογίζει το άθροισμα της τιμής που διαβάστηκε και τα κατώτερα 16 bit της εντολής (offset) που έχουν υποστεί επέκταση προσήμου lw $t1, offset($t2)‏

11 Λειτουργία datapath για εντολή load (2) ‏ 4. Το άθροισμα χρησιμοποιείται ως διεύθυνση για τη μνήμη δεδομένων 5. Τα δεδομένα από τη μνήμη γράφονται στο αρχείο καταχωρητών επιλέγοντας τον $t1 μέσω των bit 20:16 της εντολής lw $t1, offset($t2)‏

12 Λειτουργία datapath για εντολή branch equal 1. Η εντολή προσκομίζεται και ο PC αυξάνεται 2. Οι καταχωρητές $t1 και $t2 διαβάζονται από το αρχείο καταχωρητών και η κύρια μονάδα ελέγχου υπολογίζει τις τιμές των γραμμών ελέγχου beq $t1,$t2,offset

13 Λειτουργία datapath για εντολή branch equal (2) ‏ 3. Η ALU εκτελεί αφαίρεση των δεδομένων. Η τιμή PC + 4 προστίθεται στα κατώτερα 16 bit της εντολής (offset) που έχουν υποστεί επέκταση προσήμου και αριστερή ολίσθηση κατά 2 θέσεις. Το αποτέλεσμα είναι η διεύθυνση προορισμού της διακλάδωσης 4. Το αποτέλεσμα Zero από την ALU χρησιμοποιείται για να αποφασιστεί η τιμή που θα αποθηκευτεί στον PC beq $t1,$t2,offset

14 Συνάρτηση ελέγχου της υλοποίησης ενός κύκλου Από αυτό τον πίνακα αληθείας μπορούμε να υλοποιήσουμε απευθείας με πύλες τη συνάρτηση ελέγχου

15 Datapath πολλών κύκλων με τη μονάδα ελέγχου

16 Ενέργειες των σημάτων ελέγχου 1 bit

17 Ενέργειες των σημάτων ελέγχου 1 bit (2) ‏

18 Ενέργειες των σημάτων ελέγχου 2 bit

19 Υλοποίηση μονάδας ελέγχου με FSM Μηχανή πεπερασμένης κατάστασης (FSM - Finite State Machine):  Σύνολο καταστάσεων: κάθε κατάσταση ορίζει ένα σύνολο εξόδων που ενεργοποιούνται όταν η μηχανή είναι σε αυτή την κατάσταση (όσες δεν είναι ρητά ενεργοποιημένες, είναι απενεργοποιημένες)‏  Συνάρτηση επόμενης κατάστασης: απεικονίζει την τρέχουσα κατάσταση και τις εισόδους σε μια νέα κατάσταση

20 Instruction fetch/decode, register fetch

21 FSM για εντολές αναφοράς στη μνήμη

22 FSM για εντολές τύπου R

23 FSM για εντολές διακλάδωσης και άλματος

24 Υλοποίηση FSM  Τμήμα συνδυαστικής λογικής  Καταχωρητής που αποθηκεύει την τρέχουσα κατάσταση

25 Πλήρης μονάδα ελέγχου FSM για datapath πολλών κύκλων

26 FSM με προσθήκες για το χειρισμό της ανίχνευσης εξαιρέσεων Προσθήκες  EPC: 32-bit καταχωρητής, κρατά τη διεύθυνση της εντολής που επηρεάζεται  Cause: καταχωρητής που καταγράφει την αιτία της εξαίρεσης  Νέα σήματα ελέγχου: EPCWrite, CauseWrite (εγγραφή στους EPC, Cause)‏  PCSource=11: PC ← σημείο εισόδου λειτουργικού συστήματος για το χειρισμό της εξαίρεσης Εξαιρέσεις που ανιχνεύονται:  Μη ορισμένη εντολή (Cause=0)‏  Αριθμητική υπερχείλιση (Cause=1)‏

27 Μονάδα ελέγχου pipeline Το pipeline με προσδιορισμένα τα σήματα ελέγχου

28 Τα σήματα ελέγχου και το αποτέλεσμά τους

29 Τα σήματα ελέγχου και το αποτέλεσμά τους (2) ‏  Τα σήματα ελέγχου έχουν την ίδια λειτουργία όπως και στην υλοποίηση ενός κύκλου  Το σήμα ALUOp και η υλοποίηση του ελέγχου της ALU είναι επίσης όπως στην υλοποίηση ενός κύκλου  Δεν χρειάζονται σήματα εγγραφής για τον PC και για τους καταχωρητές του pipeline, αφού γίνεται εγγραφή σε κάθε κύκλο

30 Γραμμές ελέγχου pipeline Όπως στην υλοποίηση ενός κύκλου, αλλά εδώ χωρίζονται σε ομάδες ανάλογα με τα στάδια του pipeline:  IF: τα σήματα ελέγχου για την ανάγνωση της μνήμης εντολών και την εγγραφή του PC είναι πάντα ενεργοποιημένα, άρα δεν χρειάζονται γραμμές ελέγχου  ID: όμοιες λειτουργίες σε κάθε κύκλο, δε χρειάζονται γραμμές ελέγχου  EX: RegDst, ALUOp, ALUSrc  MEM: Branch, MemRead, MemWrite  WB: MemtoReg, RegWrite

31 Υλοποίηση ελέγχου pipeline Απλούστερος τρόπος υλοποίησης:  επέκταση των καταχωρητών του pipeline ώστε να περιλαμβάνουν πληροφορίες ελέγχου  δημιουργία των σημάτων στο στάδιο ID

32 Datapath με τις γραμμές ελέγχου συνδεδεμένες στα τμήματα ελέγχου των καταχωρητών του pipeline

33 Προσθήκη προώθησης (forwarding) ‏

34 Προσθήκη προώθησης (forwarding) (2) ‏ Συνθήκες για την ανίχνευση κινδύνων Κίνδυνος EX if (EX/MEM.RegWrite and (EX/MEM.RegisterRd ≠ 0)‏ and (EX/MEM.RegisterRd = ID/EX.RegisterRs)) ForwardA=10 if (EX/MEM.RegWrite and (EX/MEM.RegisterRd ≠ 0)‏ and (EX/MEM.RegisterRd = ID/EX.RegisterRt)) ForwardB=10 Κίνδυνος ΜΕΜ if (MEM/WB.RegWrite and (MEM/WB.RegisterRd ≠ 0)‏ and (EX/MEM.RegisterRd ≠ ID/EX.RegisterRs)‏ and (MEM/WB.RegisterRd = ID/EX.RegisterRs))‏ ForwardA = 01 if (MEM/WB.RegWrite and (MEM/WB.RegisterRd ≠ 0)‏ and (EX/MEM.RegisterRd ≠ ID/EX.RegisterRt)‏ and (MEM/WB.RegisterRd = ID/EX.RegisterRt))‏ ForwardB = 01

35 Προσθήκη μονάδας ανίχνευσης κινδύνου  Συνθήκη ανίχνευσης κινδύνου: if (ID/EX.MemRead and ((ID/EX.RegisterRt = IF/ID.RegisterRs) or (ID/EX.RegisterRt =IF/ID.RegisterRt)))‏ stall  Κίνδυνος : μια εντολή προσπαθεί να διαβάσει έναν καταχωρητή μετά από μια εντολή load που τον γράφει

36 Προσθήκη μονάδας ανίχνευσης κινδύνου (2) ‏  Η μονάδα ανίχνευσης κινδύνου προστίθεται στο στάδιο ID  Πώς γίνεται το stall; → όλα τα σήματα ελέγχου στο στάδιο ΕΧ γίνονται 0 (nop), και δε γίνεται εγγραφή στους PC, IF/ID, άρα καθυστερούνται έναν κύκλο οι εντολές στα στάδια IF και ID

37 Xειρισμός κινδύνων διακλάδωσης  Πρόβλεψη μη ληφθείσας διακλάδωσης  Αν τελικά η διακλάδωση συμβεί, οι εντολές που έχουν ξεκινήσει να εκτελούνται μετά τη διακλάδωση πρέπει να απορριφθούν (flush)‏  Μετακίνηση της εκτέλεσης των διακλαδώσεων στο στάδιο ID → μείωση της καθυστέρησής τους, με κόστος σε υλικό και την πιο περίπλοκη προώθηση και ανίχνευση κινδύνων

38 Χειρισμός εξαιρέσεων Προσθήκες  Καταχωρητής Cause (αιτία της εξαίρεσης)  Καταχωρητής EPC (διεύθυνση εντολής που προκάλεσε την εξαίρεση)‏  Είσοδος hex (αρχική διεύθυνση για να ξεκινήσει η προσκόμιση εντολών όταν συμβεί μια εξαίρεση)‏  Το σήμα υπερχείλισης από την ALU είναι μια είσοδος στη μονάδα ελέγχου  Σήματα για εκκένωση των σταδίων ID και ΕΧ