Σχεδίαση ψηφιακών συστημάτων Ενότητα 1: Εισαγωγή Ιωάννης Βογιατζής Τμήμα Μηχανικών Πληροφορικής ΤΕ Ανοικτά Ακαδημαϊκά Μαθήματα στο ΤΕΙ Αθήνας Το περιεχόμενο.

Slides:



Advertisements
Παρόμοιες παρουσιάσεις
Τέλος Ενότητας.
Advertisements

Μεταγλωττιστές (Compilers) (Θ) Ενότητα 13: Επαναληπτικό μάθημα Κατερίνα Γεωργούλη Τμήμα Μηχανικών Πληροφορικής ΤΕ Το περιεχόμενο του μαθήματος διατίθεται.
Οργάνωση πληροφοριών Ταξινόμηση (Θ) Ενότητα 1: Εισαγωγή (α μέρος) Δάφνη Κυριάκη-Μάνεση Τμήμα Βιβλιοθηκονομίας και Συστημάτων Πληροφόρησης Το περιεχόμενο.
Η ανοσοαποτύπωση ως επιβεβαιωτική μέθοδος
Τριφασικά συμμετρικά δίκτυα σε συνδεσμολογία Υ (1/2)
Αυτοματοποιημένη ευρετηρίαση
Διαμόρφωση πεδίων Περιγραφικά πεδία Διαχειριστικά πεδία Δομικά πεδία.
Μεταγλωττιστές (Compilers) (Θ) Ενότητα 11: Βελτιστοποίηση Ενδιάμεσου Κώδικα Κατερίνα Γεωργούλη Τμήμα Μηχανικών Πληροφορικής ΤΕ Ανοικτά Ακαδημαϊκά Μαθήματα.
Άσκηση με αντίσταση Είναι ο οποιοσδήποτε τύπος ενεργητικής άσκησης στον οποίο η δυναμική ή στατική μυϊκή σύσπαση βρίσκει αντίσταση από μία εξωτερική.
Γενικά Ανιχνεύει μη αναμενόμενα (όχι του συστήματος ΑΒΟ) αλλοαντισώματα ή/και αυτοαντισώματα σε δείγμα ορού ασθενή. Ελέγχεται ο ορός σε 2-3 δείγματα.
Αρχή μεθόδου Αποτελεί ευαίσθητη, αξιόπιστη και ταχεία μέθοδο προσδιορισμού αντιγόνων των ερυθρών αιμοσφαιρίων. Κάθε κάρτα περιέχει πέντε ή έξι (ανάλογα.
Πολιτική πληροφόρησης Ενότητα 11: Ανακεφαλαίωση Μέρος Ι Δρ Αλέξανδρος Κουλούρης Τμήμα Βιβλιοθηκονομίας & Συστημάτων Πληροφόρησης Ανοικτά Ακαδημαϊκά Μαθήματα.
Η αναγκαιότητα συνθετικής προσέγγισης 1/6
Διάνοιξη πόρων Με ακτινοβολούμενη θερμότητα. Θερμαινόμενα σίδερα.
Μυϊκή χαλάρωση Όσο ελαττώνεται η μυϊκή ενέργεια τόσο χαλαρός γίνεται ο μυς. Όταν ένας μυς βρίσκεται σε ανεπιθύμητη σύσπαση δεν μπορεί να εργασθεί φυσιολογικά.
Έλεγχος Ροής με την Εντολή Επανάληψης FOR 1/9
Καμπυλότητα Φακού P c
Δράση μάσκας Μείωση ερεθισμού και επαναφορά των διασταλμένων πόρων.
Παράγοντες που επηρεάζουν τη δύναμη ενός μυός 1/2
Ορισμός Μάλαξη είναι ένα σύστημα μηχανικών χειρισμών που εκτελούνται στην επιφάνεια του ανθρώπινου σώματος (εδώ στο πρόσωπο), με τα χέρια ή με ειδικά μηχανήματα.
Αλκίνια Χαρακτηριστική ομάδα: τριπλός δεσμός.
Περιγραφή Είναι κύματα που εκπέμπονται σε πολύ μεγάλες συχνότητες.
Ορισμός Τα υψίσυχνα ρεύματα είναι υψηλής συχνότητας εναλλασσόμενα ρεύματα. Δεν διεγείρουν τα αισθητικά και κινητικά νεύρα και έτσι δεν υπάρχει κίνδυνος.
Σύσταση και Ανάλυση Γλευκών και Οίνων (Θ)
Συστήματα Θεματικής Πρόσβασης (Θ) Ενότητα 5: Θεματική επεξεργασία απεικονιστικών τεκμηρίων Δάφνη Κυριάκη-Μάνεση Τμήμα Βιβλιοθηκονομίας και Συστημάτων Πληροφόρησης.
Μέρη μηχανής φύλλου όφσετ
ΜΙΚΡΟΟΙΚΟΝΟΜΙΚΗ Ενότητα 1: Αγορά Ανοικτά Ακαδημαϊκά Μαθήματα στο ΤΕΙ Ιονίων Νήσων Το περιεχόμενο του μαθήματος διατίθεται με άδεια Creative Commons εκτός.
Οργάνωση και Διοίκηση Πρωτοβάθμιας (Θ) Ενότητα 1: Βασικές αρχές και ορισμοί Γιώργος Πιερράκος Τμήμα Διοίκησης Επιχειρήσεων Εισαγωγική Κατεύθυνση Διοίκησης.
Υπηρεσίες Πληροφόρησης Ενότητα 7: Είδη υπηρεσιών πληροφόρησης – Εξυπηρέτηση (β’ μέρος) Δρ. Ευγενία Βασιλακάκη Τμήμα Βιβλιοθηκονομίας και Συστημάτων Πληροφόρησης.
Διατροφή- Διαιτολογία Ενότητα 18: Διατροφή και δυσλιπιδαιμίες Αναστασία Κανέλλου, καθηγήτρια Τμήμα Νοσηλευτικής Ανοικτά Ακαδημαϊκά Μαθήματα στο ΤΕΙ Αθήνας.
Εκτυπωτικά Υποστρώματα (Ε) Ενότητα 8: Μέτρηση της μεταβολής των διαστάσεων του χαρτιού μετά από βύθιση σε νερό Βασιλική Μπέλεση Επίκ. Καθηγήτρια Τμήμα.
Αισθητική ηλεκτροθεραπεία σώματος Ενότητα 8: Κινητικά σημεία Ευθυμία Μικελάτου Αισθητικός Κοσμητολόγος B.Sc., M.Sc. Τμήμα Αισθητικής και Κοσμητολογίας.
Τεχνολογία οφθαλμικών φακών Ι (Ε) Ενότητα 2: Διόρθωση αμετρωπιών με οφθαλμικούς φακούς Θεμιστοκλής Γιαλελής, Οπτικός, MSc, PhD candidate ΕΔΙΠ του τμήματος.
Τεχνολογία οφθαλμικών φακών Ι (Ε) Ενότητα 5: Έγχρωμοι φακοί Θεμιστοκλής Γιαλελής, Οπτικός, MSc, PhD candidate ΕΔΙΠ του τμήματος Οπτικής και Οπτομετρίας.
Συμπλήρωση Προτύπου Διδακτικού Σχεδιασμού
Eιδικά θέματα βάσεων χωρικών δεδομένων και θεωρία συστημάτων
Κανόνες Ασφαλείας Εργοταξίων
ΟΙΚΟΝΟΜΙΚΑ ΤΟΥ ΕΛΕΓΧΟΥ ΤΗΣ ΡΥΠΑΝΣΗΣ
Άλλες μορφές νευρώσεων
Διαχείριση παραγωγής εντύπων 1/2
Επικοινωνιακός Προγραμματισμός Ι
Άσκηση 8 (1 από 3) Προβολές 1. Να επιλέξετε ένα θέμα βασισμένο σε κάποια παράγραφο / υποπαράγραφο του κεφαλαίου 6 των σημειώσεων και να κάνετε μια εργασία.
Υπολογιστική Γεωμετρία και Εφαρμογές στις ΒΧΔ
Βασικά δεδομένα Το σύστημα υγείας δεν αποτελεί απλά άθροισμα επιμέρους μερών. Τα επιμέρους στοιχεία του συστήματος βρίσκονται σε συνεχή αλληλεξάρτηση.
Παρουσίαση ναυπηγικών γραμμών 1/3
Ταυτότητα και περίγραμμα μαθήματος
Δίκτυα Υπολογιστών ΙΙ (Ε)
ΠΡΟΤΥΠΟ ΕΛΟΤ EN ISO 3251 Ζύγιση μάζας υγρού μελανιού (m1 g)
Ενότητα 13 Αξιολόγηση μαθήματος και διδάσκοντος από την εφαρμογή της Μονάδας Ολικής Ποιότητας (ΜΟΔΙΠ) του ΤΕΙ Αθήνας Αξιολόγηση του μαθήματος Αξιολόγηση.
Άσκηση 9 (1 από 2) Ανακαλύψτε στο χάρτη σας μερικά χαρτογραφικά αντικείμενα που να ανήκουν στις παρακάτω κατηγορίες : φυσικά, τεχνητές κατασκευές, αφηρημένα.
Τοπολογικές σχέσεις 1/3 Βρείτε και περιγράψτε τις τοπολογικές σχέσεις σύμφωνα με τους (Pantazis, Donnay 1996) για τα παρακάτω γεω-γραφικά αντικείμενα:
Επικοινωνιακός Προγραμματισμός Ι
Εικαστικές συνθέσεις - Χρώμα στο χώρο
Γενική και Μαθηματική Χαρτογραφία (Ε)
Οργάνωση και Διοίκηση Πρωτοβάθμιας (Θ)
Λιθογραφία – Όφσετ (Θ) Ενότητα 8.2: Εκτυπωτική Διαδικασία Μηχανής
Επικοινωνιακός Προγραμματισμός Ι
Αισθητική Σώματος Ι (Ε)
Ανοικτά Ακαδημαϊκά Μαθήματα στο ΤΕΙ Αθήνας
Ειδικά θέματα βάσεων χωρικών δεδομένων και θεωρία συστημάτων -E
Γενική και Μαθηματική Χαρτογραφία (Ε)
Αισθητική Σώματος Ι (Ε)
Ενότητα 8: Συστήματα Υγείας στην Ευρώπη: Γαλλία
Eιδικά θέματα βάσεων χωρικών δεδομένων και θεωρία συστημάτων -Θ
Ανοσολογία (Ε) Ενότητα 3: Αιμοσυγκόλληση Πέτρος Καρκαλούσος
Γενική και Μαθηματική Χαρτογραφία (Ε)
Οργανική Χημεία (Ε) Ενότητα 2: Προσδιορισμός σημείου τήξης
Ενότητα 1: ……………….. Όνομα Επώνυμο Τμήμα __
Αισθητική ηλεκτροθεραπεία σώματος
Επικοινωνιακός Προγραμματισμός Ι
Μεταγράφημα παρουσίασης:

Σχεδίαση ψηφιακών συστημάτων Ενότητα 1: Εισαγωγή Ιωάννης Βογιατζής Τμήμα Μηχανικών Πληροφορικής ΤΕ Ανοικτά Ακαδημαϊκά Μαθήματα στο ΤΕΙ Αθήνας Το περιεχόμενο του μαθήματος διατίθεται με άδεια Creative Commons εκτός και αν αναφέρεται διαφορετικά Το έργο υλοποιείται στο πλαίσιο του Επιχειρησιακού Προγράμματος «Εκπαίδευση και Δια Βίου Μάθηση» και συγχρηματοδοτείται από την Ευρωπαϊκή Ένωση (Ευρωπαϊκό Κοινωνικό Ταμείο) και από εθνικούς πόρους.

Εισαγωγή Βιβλιογραφία: Βιβλίο Α: «Ψηφιακή Σχεδίαση – Ενσωµατωµένα Συστήµατα µε VHDL», P.J. Ashenden. Εκδόσεις Νέων Τεχνολογιών Βιβλίο Β: «Σχεδιασµός Κυκλωµάτων µε τη VHDL», V.A. Pedroni. Εκδόσεις Κλειδάριθµος ∆ιαφάνειες (Μπορείτε να τις κατεβάσετε από τo e-class)

Θεωρία- Εργαστήριο Θεωρία: Τετάρτη 12-2 Εργαστήριο: Δευτέρα 8-10, 12-2, εργαστήριο MICRO

Περιεχόμενο μαθήματος Σχεδίαση ψηφιακών κυκλωµάτων µε χρήση γλώσσας περιγραφής υλικού o VHDL Σχεδίαση απλών ψηφιακών κυκλωµάτων: o Συνδυαστικά κυκλώµατα, π.χ. πολυπλέκτες, αποκωδικοποιητές, αριθµητικά κυκλώµατα o Ακολουθιακά κυκλώµατα: flip-flop, καταχωρητές, µετρητές Σχεδίαση πιο πολύπλοκων ψηφιακών συστηµάτων: o Μηχανές καταστάσεων, µνήµες, επεξεργαστές (MIPS) Προσοµοίωση ψηφιακών κυκλωµάτων o Χρήση εργαλείων προσοµοίωσης (HDL simulators)

Προαπαιτούμενα Γνώσεις από το µάθηµα ψηφιακής σχεδίασης: Λογικές πύλες Συνδυαστικά κυκλώµατα: o πολυπλέκτες, αποκωδικοποιητές Αριθµητικά κυκλώµατα o αθροιστές, πολλαπλασιαστές Ακολουθιακά κυκλώµατα o καταχωρητές, καταχωρητές ολίσθησης, µετρητές

Εργαστήριο Περιβάλλον εισαγωγής, προσοµοίωσης και αποσφαλµάτωσης µοντέλων VHDL o ModelSim – HDL Simulation Εργαστηριακές ασκήσεις: o Γνωριµία µε το περιβάλλον o Συνδυαστικά κυκλώµατα o Ακολουθιακά κυκλώµατα o Μηχανές πεπερασµένων καταστάσεων

Μεθοδολογία σχεδίασης

Σύνθεση (synthesis) Συνήθως, η σχεδίαση των συστηµάτων γίνεται σε επίπεδο µεταφοράς καταχωρητή (register- transfer-level – RTL) o Υψηλότερο επίπεδο αφαίρεσης σε σχέση µε τη σχεδίαση µε πύλες Τα εργαλεία σύνθεσης µεταφράζουν τη σχεδίαση RTL σε ένα κύκλωµα µε πύλες που εκτελεί την ίδια λειτουργία Στο εργαλείο σύνθεσης πρέπει να καθορίσουµε: o Την τεχνολογία υλοποίησης o Περιορισµούς σε χρόνο, επιφάνεια, κτλ. (αν υπάρχουν) Επαλήθευση µετά τη σύνθεση (post-synthesis verification): o Ότι το κύκλωµα που έχει προκύψει από τη σύνθεση ικανοποιεί τους περιορισµούς

Φυσική υλοποίηση (physical implementation) ∆οµές υλοποίησης: o Application-specific ICs (ASICs) o Field-programmable gate arrays (FPGAs) Χωροθέτηση (floor-planning) o Τοποθετεί τα υποσυστήµατα Τοποθέτηση (placement) o Τοποθετεί τις πύλες µέσα στα υποσυστήµατα ∆ροµολόγηση (routing) o Συνδέει τις πύλες µε αγωγούς Φυσική επαλήθευση (physical verification) o Το φυσικό κύκλωµα ικανοποιεί ακόµα τους περιορισµούς o Καλύτερη εκτίµηση των χρονικών προδιαγραφών

Κατασκευή Τα ολοκληρωµένα κυκλώµατα (integrated circuits) κατασκευάζονται στην επιφάνεια ενός πλακιδίου πυριτίου (silicon wafer) o Ελάχιστο χαρακτηριστικό µέγεθος (feature size) που µειώνεται σε κάθε τεχνολογική γενιά o Τώρα 25nm o Νόµος του Moore: αύξηση του αριθµού των τρανζίστορ o CMOS: συµπληρωµατικά (complementary) MOSFET κυκλώµατα έξοδος είσοδοςείσοδος +V

Συσκευασίες ολοκληρωµένων κυκλωµάτων Τα ολοκληρωµένα κυκλώµατα ενθυλακώνονται σε προστατευτική συσκευασία o Εξωτερικοί ακροδέκτες για να συνδεθούν µε την πλακέτα κυκλώµατος o Καλώδια συγκόλλησης ή συνδέσεις flip-chip

Printed Circuit Boards (PCBs) Πλακέτες τυπωµένου κυκλώµατος Στρώµατα µεταλλικής καλωδίωσης µεταξύ στρωµάτων µονωτικού υλικού (fiberglass) o Κατασκευάζεται µε χρήση φωτολιθογραφίας και εγχάραξης Οι αγωγοί διασυνδέουν IC και άλλα στοιχεία o Εξωτερικές συνδέσεις σε άλλα στοιχεία του συστήµατος

Ιεραρχική σχεδίαση Τα κυκλώµατα είναι αρκετά πολύπλοκα για να σχεδιάσουµε όλες τις λεπτοµέρειες σε ένα επίπεδο Σχεδιάζουµε υποσυστήµατα για απλές λειτουργίες Συνθέτουµε υποσυστήµατα για να σχηµατίσουµε το σύστηµα o Αντιµετωπίζουµε τα υποκυκλώµατα ως «µαύρα κουτιά» o Επαληθεύουµε ανεξάρτητα, και έπειτα επαληθεύουµε την ολοκλήρωσή τους Σχεδίαση top-down (από πάνω προς τα κάτω) ή bottom-up (από κάτω προς τα πάνω)

Γλώσσες περιγραφής υλικού Hardware Description Language (HDL) o Μια γλώσσα για την µοντελοποίηση της συµπεριφοράς και της δοµής των ψηφιακών συστηµάτων Electronic Design Automation (EDA) using HDL - Αυτοµατοποίηση ηλεκτρονικής σχεδίασης: σχεδίαση ηλεκτρονικών κυκλωµάτων µε χρήση εργαλείων CAD (computer-aided design) o Εισαγωγή σχεδίασης (design entry) κώδικας αντί για σχηµατικά διαγράµµατα o Επαλήθευση (verification) προσοµοίωση του κώδικα o Σύνθεση (synthesis) αυτόµατη παραγωγή των κυκλωµάτων

Πλεονεκτήµατα HDL Υπερτερούν από τα σχηµατικά διαγράµµατα: o H µοντελοποίηση του συστήµατος µπορεί να γίνει σε όλα τα επίπεδα (από τα υψηλότερα ως τα χαµηλότερα) o Η περιγραφή σε HDL είναι συνήθως (?) πιο κατανοητή από ένα σχηµατικό διάγραµµα o Η περιγραφή σε HDL είναι ανεξάρτητη από τις βιβλιοθήκες σχεδίασης (design libraries) και τα CAD εργαλεία Υπερτερούν από τις γλώσσες προγραµµατισµού: o Παρέχουν δοµές που περιγράφουν καλύτερα το υλικό o Παράλληλη εκτέλεση εντολών αντί για ακολουθιακή o Παρέχουν δυνατότητα για περιγραφή χρονισµών

Γλώσσες περιγραφής υλικού: VHDL VHDL: VHSIC Hardware Description Language o VHSIC: Very High-Speed Integrated Circuits Ιστορική αναδροµή: o Ξεκίνησε το 1981 από το Υπουργείο Άµυνας των ΗΠΑ ως γλώσσα περιγραφής ολοκληρωµένων κυκλωµάτων o Οι εταιρείες ΙΒΜ, Texas Instruments, Intermetrics ανάπτυξαν και κυκλοφόρησαν την 1η έκδοση το 1985 Πρότυπο από τον οργανισµό ΙΕΕΕ o ΙΕΕΕ Standard (VHDL-87) o IEEE Standard (VHDL-93) o IEEE Standard 1076a (VHDL-2000) Πιο διαδεδοµένη στην Ευρώπη

Γλώσσες περιγραφής υλικού: Verilog Ιστορική αναδροµή: o Αναπτύχθηκε ως γλώσσα µοντελοποίησης υλικού o από την εταιρεία Gateway Design Automation το 1984 για ιδιωτική χρήση o Η εταιρεία Cadence Design Systems αγόρασε την Gateway το 1990 o Η εταιρεία Cadence είναι υπεύθυνη για την προώθηση της Verilog ως γλώσσα µοντελοποίησης & προσοµοίωσης o Η εταιρεία Synopsys είναι υπεύθυνη για την προώθηση της Verilog ως γλώσσα σύνθεσης Πρότυπο από τον οργανισµό IEEE το 1995 Πιο διαδεδοµένη στην Αµερική

HDL: µοντελοποίηση & προσοµοίωση Αρχικά οι γλώσσες περιγραφής υλικού (HDL) σχεδιάστηκαν για τη µοντελοποίηση και τη προσοµοίωση των συστηµάτων o Η ιδέα ήταν να εισάγουν δοµές στην γλώσσα που να επιτρέπουν τη µοντελοποίηση και τη προσοµοίωση του υλικού στα υψηλότερα επίπεδα αφαίρεσης Χαρακτηριστικά µοντελοποίησης των HDLs: o παράλληλη εκτέλεση o ιεραρχική σχεδίαση o περιγραφή χρονισµών o περιγραφή ακολουθίας γεγονότων o περιγραφή σύγχρονης/ασύγχρονης συµπεριφοράς

HDL: µοντελοποίηση & σύνθεση Αργότερα όµως αναπτύχθηκαν εργαλεία για σύνθεση τα εργαλεία σύνθεσης όµως δεν µπορούν να υποστηρίξουν όλες τις δοµές των HDLs Ένα υποσύνολο των HDL είναι συνθέσιµο

Πώς να ΜΗ γράφετε κώδικα VHDL … Επειδή οι HDLs έχουν τις ρίζες τους σε γλώσσες προγραµµατισµού (η VHDL στην Ada και η Verilog στην C) είναι εύκολες στην εκµάθηση … … αλλά δύσκολες στη σωστή χρήση τους Οι αρχάριοι σχεδιαστές τείνουν να γράφουν κώδικα VHDL που µοιάζει µε τα προγράµµατα υπολογιστών (…πολλές µεταβλητές και πολλούς βρόχους …) Για αυτό: o Μη γράφετε VHDL όπως θα γράφατε ένα πρόγραµµα o Θυµηθείτε τις δυνατότητες που σας δίνει η VHDL (π.χ. παράλληλη εκτέλεση, περιγραφή χρονισµών, περιγραφή ακολουθίας γεγονότων) o Να έχετε πάντα στο µυαλό σας τι κύκλωµα αντιστοιχεί στον κώδικα VHDL που γράφετε

Έννοιες µοντελοποίησης της VHDL ∆ιασύνδεση (interface) Συµπεριφορά (behavior) ∆οµή (structure) Μοντέλα δοκιµής (test benches)

Σχεδιαστική µονάδα στη VHDL

Οντότητα (entity) Περιγράφει την εξωτερική διασύνδεση (external interface) της σχεδιαστικής µονάδας entity full_adder is port ( a, b, cin : in bit; sum, cout : out bit); end entity full_adder;

Περιγράφει την εσωτερική λειτουργία (internal functionality) της σχεδιαστικής µονάδας architecture behav of full_adder is begin … end architecture behav; Architecture body Αρχιτεκτονική (architecture) Entity name Architecture name

Οντότητα και αρχιτεκτονικές Μπορούν να υπάρχουν διαφορετικές αρχιτεκτονικές για να περιγράψουν την συνάρτηση µίας οντότητας

architecture behav of full_adder is begin p: process (a,b,cin) is begin if a = '1' then cout <= b or cin; sum <= b xnor cin; else cout <= b and cin; sum <= b xor cin; end if; end process; end architecture behav; Περιγραφή συµπεριφοράς (behavioral description)

entity half_adder is port (a,b: in bit; sum,cout : out bit); end entity half_adder; architecture behav of half_adder is begin sum <= a xor b; cout <= a and b; end architecture behav; Περιγραφή δοµής (structural description) 1/2

architecture struct of full_adder is signal sum1,cout1,cout2: bit; begin ha1: entity work.half_adder(behav) port map(a,b,sum1,cout1); ha2: entity work.half_adder(behav) port map(cin,sum1,sum,cout2); cout <= cout1 or cout2; end architecture struct; Περιγραφή δοµής (structural description) 2/2

Ποια περιγραφή είναι καλύτερη; Πολυπλοκότητα της σχεδίασης: o Η περιγραφή συµπεριφοράς προτιµάται για να περιγράψει µία πολύπλοκη συνάρτηση o Η περιγραφή δοµής προτιµάται για να περιγράψει µία ιεραρχική σχεδίαση (επαναχρησιµοποίηση µονάδων) Απόδοση της σχεδίασης: µέγεθος, καθυστέρηση, κατανάλωση o Εξαρτάται από το εργαλείο σύνθεσης o Εξαρτάται από την εµπειρία του σχεδιαστή Σε µία σχεδίαση µπορούν να συνδυαστούν όλοι οι τύποι περιγραφής

Παράδειγµα: συνδυαστικό κύκλωµα ∆ύο δοχεία επεξεργασίας υγρών: o ∆ιακόπτης επιλογής δοχείου o Σωστή θερµοκρασία (µεταξύ 25°C και 30°C) o Αισθητήρες θερµοκρασίας o Αισθητήρες χαµηλής στάθµης Κύκλωµα ελέγχου που ενεργοποιεί ένα κουδούνι (συναγερµού) o Όταν η θερµοκρασία είναι πολύ υψηλή ή πολύ χαµηλή ή o Όταν η στάθµη του υγρού είναι πολύ χαµηλή

Τέλος Ενότητας

Σημειώματα

Σημείωμα Αναφοράς Copyright Τεχνολογικό Εκπαιδευτικό Ίδρυμα Αθήνας, Ιωάννης Βογιατζής Ιωάννης Βογιατζής. «Σχεδίαση ψηφιακών συστημάτων. Ενότητα 1: Εισαγωγή». Έκδοση: 1.0. Αθήνα Διαθέσιμο από τη δικτυακή διεύθυνση: ocp.teiath.gr.ocp.teiath.gr

Σημείωμα Αδειοδότησης Το παρόν υλικό διατίθεται με τους όρους της άδειας χρήσης Creative Commons Αναφορά, Μη Εμπορική Χρήση Παρόμοια Διανομή 4.0 [1] ή μεταγενέστερη, Διεθνής Έκδοση. Εξαιρούνται τα αυτοτελή έργα τρίτων π.χ. φωτογραφίες, διαγράμματα κ.λ.π., τα οποία εμπεριέχονται σε αυτό. Οι όροι χρήσης των έργων τρίτων επεξηγούνται στη διαφάνεια «Επεξήγηση όρων χρήσης έργων τρίτων». Τα έργα για τα οποία έχει ζητηθεί και δοθεί άδεια αναφέρονται στο «Σημείωμα Χρήσης Έργων Τρίτων». [1] Ως Μη Εμπορική ορίζεται η χρήση: που δεν περιλαμβάνει άμεσο ή έμμεσο οικονομικό όφελος από την χρήση του έργου, για το διανομέα του έργου και αδειοδόχο που δεν περιλαμβάνει οικονομική συναλλαγή ως προϋπόθεση για τη χρήση ή πρόσβαση στο έργο που δεν προσπορίζει στο διανομέα του έργου και αδειοδόχο έμμεσο οικονομικό όφελος (π.χ. διαφημίσεις) από την προβολή του έργου σε διαδικτυακό τόπο Ο δικαιούχος μπορεί να παρέχει στον αδειοδόχο ξεχωριστή άδεια να χρησιμοποιεί το έργο για εμπορική χρήση, εφόσον αυτό του ζητηθεί.

Επεξήγηση όρων χρήσης έργων τρίτων Δεν επιτρέπεται η επαναχρησιμοποίηση του έργου, παρά μόνο εάν ζητηθεί εκ νέου άδεια από το δημιουργό. © διαθέσιμο με άδεια CC-BY διαθέσιμο με άδεια CC-BY-SA διαθέσιμο με άδεια CC-BY-NC-SA διαθέσιμο με άδεια CC-BY-NC Επιτρέπεται η επαναχρησιμοποίηση του έργου και η δημιουργία παραγώγων αυτού με απλή αναφορά του δημιουργού. Επιτρέπεται η επαναχρησιμοποίηση του έργου με αναφορά του δημιουργού, και διάθεση του έργου ή του παράγωγου αυτού με την ίδια άδεια. Επιτρέπεται η επαναχρησιμοποίηση του έργου με αναφορά του δημιουργού. Δεν επιτρέπεται η εμπορική χρήση του έργου. Επιτρέπεται η επαναχρησιμοποίηση του έργου με αναφορά του δημιουργού. και διάθεση του έργου ή του παράγωγου αυτού με την ίδια άδεια Δεν επιτρέπεται η εμπορική χρήση του έργου. διαθέσιμο με άδεια CC-BY-ND Επιτρέπεται η επαναχρησιμοποίηση του έργου με αναφορά του δημιουργού. Δεν επιτρέπεται η δημιουργία παραγώγων του έργου. διαθέσιμο με άδεια CC-BY-NC-ND Επιτρέπεται η επαναχρησιμοποίηση του έργου με αναφορά του δημιουργού. Δεν επιτρέπεται η εμπορική χρήση του έργου και η δημιουργία παραγώγων του. διαθέσιμο με άδεια CC0 Public Domain διαθέσιμο ως κοινό κτήμα Επιτρέπεται η επαναχρησιμοποίηση του έργου, η δημιουργία παραγώγων αυτού και η εμπορική του χρήση, χωρίς αναφορά του δημιουργού. χωρίς σήμανσηΣυνήθως δεν επιτρέπεται η επαναχρησιμοποίηση του έργου.

Διατήρηση Σημειωμάτων Οποιαδήποτε αναπαραγωγή ή διασκευή του υλικού θα πρέπει να συμπεριλαμβάνει:  το Σημείωμα Αναφοράς  το Σημείωμα Αδειοδότησης  τη δήλωση Διατήρησης Σημειωμάτων  το Σημείωμα Χρήσης Έργων Τρίτων (εφόσον υπάρχει) μαζί με τους συνοδευόμενους υπερσυνδέσμους.

Χρηματοδότηση Το παρόν εκπαιδευτικό υλικό έχει αναπτυχθεί στo πλαίσιo του εκπαιδευτικού έργου του διδάσκοντα. Το έργο «Ανοικτά Ακαδημαϊκά Μαθήματα στο ΤΕΙ Αθηνών» έχει χρηματοδοτήσει μόνο την αναδιαμόρφωση του εκπαιδευτικού υλικού. Το έργο υλοποιείται στο πλαίσιο του Επιχειρησιακού Προγράμματος «Εκπαίδευση και Δια Βίου Μάθηση» και συγχρηματοδοτείται από την Ευρωπαϊκή Ένωση (Ευρωπαϊκό Κοινωνικό Ταμείο) και από εθνικούς πόρους.